Метод и алгоритм обработки данных на основе идентификаторов в специализированном вычислительном устройстве

Бесплатно
Работа доступна по лицензии Creative Commons:«Attribution» 4.0
Алшаиа Хайдер Яхья Атоун
Бесплатно
Работа доступна по лицензии Creative Commons:«Attribution» 4.0

Введение ……………………………………………………………………………………………………. 6

1 Проблемы обработки данных на основе идентификаторов в современных
информационных системах. ……………………………………………………………………… 14

1.1 Особенности формирования и обработки информации на основе
идентификаторов …………………………………………………………………………………… 14

1.2 Математическое и алгоритмическое обеспечение систем обработки
сообщений …………………………………………………………………………………………….. 16

1.3 Известные технические решения, направленные на сокращение затрат
при обработке сообщений и их идентификаторов ………………………………….. 19

1.4 Особенности реализации процедур обработки данных на основе
идентификаторов в условиях ограниченного размера единичного
сообщения …………………………………………………………………………………………….. 27

1.5 Анализ подходов к повышению производительности устройств
обработки данных на основе идентификаторов ……………………………………… 33

1.6 Выводы по разделу ……………………………………………………………………….. 35

2 Разработка методов и алгоритмов обработки сообщений ограниченного
размера…………………………………………………………………………………………………….. 37

2.1 Формальное представление процедуры определения источника
сообщений на основе ограниченного размера служебных данных. …………. 37

2.1.1 Организация взаимодействия двух устройств, обменивающихся
сообщениями ограниченной длины …………………………………………………….. 37

2.1.2 Влияние ошибок на особенности буферизации памяти и на
скорость обработки сообщений …………………………………………………………… 41

2.1.3 Особенности буферизации сообщений …………………………………….. 43

2.2 Особенности реализации аппаратной обработки данных на основе
идентификаторов …………………………………………………………………………………… 44
2.2.1 Формирование цепочек сообщений одного множества в
приёмнике ………………………………………………………………………………………….. 44

2.2.2 Организация буферной памяти приёмника, обеспечивающая
повышение скорости построения цепочек сообщений …………………………. 45

2.2.3 Ограничение числа вычислительных модулей и блоков памяти
при множеств сообщений……………………………………………………………………. 48

2.2.4 Формализация метода обработки данных на основе
идентификаторов………………………………………………………………………………… 49

2.3 Синтез алгоритма управления статусами множеств сообщений. ……. 50

2.3.1 Описание алгоритма управления статусами множеств сообщений.
……………………………………………………………………………………………….. 50

2.3.2 Описание алгоритма обработки входящих сообщений …………….. 52

2.3.2.1 Варианты реализации алгоритма обработки сообщений .
………………………………………………………………………………… 52

2.3.2.2 Итерационный алгоритм формирования цепочек
сообщений ………………………………………………………………………………… 53

2.3.2.3 Рекурсивный алгоритм формирования цепочек
сообщений ………………………………………………………………………………. 55

2.4 Описание алгоритма кодирования данных сообщения короткой длины
2.4.1 Общие принципы обработки сообщений …………………………………. 57

2.4.2 Основные этапы кодирования сообщений ……………………………….. 58

2.4.3 Алгоритм необратимого преобразования …………………………………. 58

2.4.4 Используемый алгоритм обратимого преобразования данных ….. 60

2.5 Выводы по разделу ……………………………………………………………………….. 61

3 Исследование характеристик алгоритма обработки данных на основе
идентификаторов ……………………………………………………………………………………… 63
3.1 Постановка задачи. ……………………………………………………………………….. 63

3.2 Исследование вероятности ошибки определения принадлежности
сообщения целевому множеству ……………………………………………………………. 64

3.3 Исследование затрат памяти для реализации метода определения
источника сообщений ……………………………………………………………………………. 71

3.4 Влияние типа алгоритма для формирования цепочек сообщений на
требуемый размер памяти приёмника ……………………………………………………. 74

3.5 Исследование вычислительной сложности алгоритма формирования
цепочек сообщений ……………………………………………………………………………….. 78

3.6 Выводы по разделу ……………………………………………………………………….. 84

4 Разработка структурно-функциональной организации
специализированного устройства обработки данных на основе
идентификаторов ……………………………………………………………………………………… 86

4.1 Основные функции устройства обработки данных на основе
идентификаторов и принципы его структурной организации …………………. 86

4.2 Структурная организация специализированного устройства
управления обработки данных на основе идентификаторов ……………………. 87

4.3 Описание функциональных схем отдельных блоков
специализированного устройства управления обработки данных на основе
идентификаторов …………………………………………………………………………………… 90

4.3.1 Функциональная схема блока предобработки сообщений ………… 90

4.3.2 Функциональная схема блока буферизации сообщений……………. 92

4.3.3 Описание организации памяти адресов сообщений ………………….. 94

4.3.4 Описание блока анализа сообщений ………………………………………… 96

4.3.5 Описание микропрограммного устройства управления ……………. 99

4.4 Оценка характеристик разработанного специализированного
устройства управления обработки данных на основе идентификаторов … 102
4.4.1 Реализация специализированного устройства управления
обработки данных на основе идентификаторов с помощью системы
автоматического проектирования ……………………………………………………… 102

4.4.2 Оценка быстродействия разработанного специализированного
устройства обработки данных на основе идентификаторов ……………….. 104

4.5 Выводы по разделу ……………………………………………………………………… 107

Основные результаты работы …………………………………………………………………. 109

Список литературы ………………………………………………………………………………… 111

ПРИЛОЖЕНИЕ А ………………………………………………………………………………….. 128

ПРИЛОЖЕНИЕ Б …………………………………………………………………………………… 130

Во введении обосновывается актуальность темы диссертации,
выделяются и формулируются цели и задачи исследования, показана научная новизна, теоретическая и практическая значимость результатов, описывается
структура диссертационной работы. Изложены основные положения, выносимые на защиту, соответствие содержания диссертации паспорту научной специальности, степень достоверности и апробация результатов.
В первой главе содержит литературный обзор по теме диссертации. Представлены результаты анализа публикаций, касающихся особенностей формирования и обработки сообщений на основе входящих в их состав идентификаторов в современных информационно-вычислительных системах. Определена целевая характеристика устройств обработки данных – производительность – количество формируемых множеств сообщений в единицу времени:
ETNUT N U1 (1) eq  dec dec comp comp 
где: Tdec – длительность операции декодирования сообщения, Tcomp – длительность операций формирования и сравнения идентификаторов, Ndec(|U|) – число операций декодирования сообщений, являющееся функцией мощности множества сообщений U, поступающих в устройство в единицу времени, Ncomp(|U|) – число операций сравнения идентификаторов (функция от |U|).
Если определить число сообщений как произведение числа формируемых множеств K на число сообщений M, относящихся к каждому множеству, которые поступают в устройство в единицу времени, то для известных методов, ориентированных на обработку единичных сообщений, производительность определится как:
1
E1 T KMT KM. (2)
eq dec comp
Для методов, ориентированных на обработку групп сообщений:
 1
KM , (3)
eq eq обрабатывающих группы сообщений: уменьшение времени Tcomp выполнения операции формирования и сравнения идентификаторов, использование параллельно работающих модулей формирования множеств сообщений, использование алгоритмов сравнения идентификаторов, сложность которых
O(K·M) меньше сложности известных решений.
Вторая глава диссертации посвящена созданию метода и алгоритмов
обработки данных на основе идентификаторов ограниченной длины. Сообщения, относящиеся к множеству сообщений адресата, кодируются на основании некоторого идентификатора данного множества ΩA. Каждое сообщение Si содержит в себе поле идентификатора SiС, содержащее проверочные данные, по которым осуществляется проверка корректности обработки сообщения, а также определяется принадлежность сообщения конкретному множеству. Его содержимое есть функция следующих данных: ΩA – идентификатора множества сообщений, содержимого информационной
части Sinf предыдущего сообщения и некоторого идентификатора μ i
E2  T KT N 
comp

eq dec comp
Отсюда сформулированы основные подходы к повышению производительности (увеличению соотношения E2 / E1 ) приёмников,
i
сообщения, позволяющего его выделить среди всех сообщений целевого множества (определить его номер ip в анализируемой группе сообщений):
SC  F A,Sinf,ip |  F A,Sinf,ip |F A,ip   
(4) где F – функция формирования приёмником имитовставки из слов Sinf
i 1 i1 i 1 i1 2
и ΩA (необратимое преобразование); F2 – выполненное приёмником кодирование числа ip на основе слова ΩA (обратимое преобразование), | – операция конкатенации двух слов.
Полученное сообщение будет идентифицировано как ip–е сообщение источника, если будет истинным следующее логическое выражение:
 F1A,ip  SC / FA,Sinf,ip 2 i i i 1 i1 
слова
(5)
μ по
где F 1 – выполняемое приёмником декодирование 2
i идентификатору множества ΩA, ip – число полученных сообщений обрабатываемой группы, SiС / μi – результат отделения от слова SiС слова μi. Все полученные сообщения, для которых было выполнено условие (5), буферизируются в основной буферной памяти (ОБП) устройства, затем из них формируется последовательность (цепочка) сообщений фиксированной длины M, для каждого элемента которой верно условие (5). По факту формирования цепочки принимается решение о принадлежности всех её M сообщений
множеству с идентификатором ΩA.
В основе повышения производительности приёмников (см. формулу
(3)) лежит сокращение временных затрат при проверке условия (5). Для этого, вместо многократного чтения из ОБП содержимого информационной
части S inf сообщений и выполнения операций F 1 и F , с помощью
i2
быстродействующей регистровой памяти адресов сообщении (ПАС) устройства организуется хранение следующих слов:
 адреса сообщения в ОБП;
 результата вычисления выражения F A, Sinf , ip 1для данного со-
i
общения для сравнения его за один такт (без предобработки и дополнитель- ных преобразований) с результатом S C   , а также для сравнения с со-
ip 1 ip 1
держимым поля SC сообщения, у которого F -1(ΩA , μ ) = ip +1;
2i
 слова SiС / μi , для сравнения его за один такт с содержимым поля SC
сообщения, у которого F -1(ΩA , μ ) = ip –1. 2i
Для уменьшения вычислительных затрат при обработке сообщений, создан алгоритм управления статусами обрабатываемых специализированным вычислительным устройством множеств сообщений (рис. 1).
p
i 1
Рисунок 1. Алгоритм управления статусами множеств сообщений.
На основании всего сказанного выше можно описать основные этапы разрабатываемого метода обработки данных на основе идентификаторов.
1. Каждому множеству сообщений присваивают статус «анализируемое» или «неактивное». Сообщения проверяются на принадлежность только к множествам со статусом «анализируемое».
2. Для каждого поступающего в устройство сообщения производится операция его декодирования с использованием множества идентификаторов.
3. Результат проверки содержимого сообщения по условию (5) относит его к определённой цепочке каждого множества со статусом «анализируемое». 4. Результат проверки декодированного номера сообщения переводит
множество из состояния «неактивное» в состояние «анализируемое».
5.Если для некоторого множества из состояния «анализируемое» получено граничное сообщение и сформирована единственная цепочка сообщений, то вся такая цепочка относится к данному множеству, а множеству присваивают статус «неактивное», что исключает его из анализа при предобработке данных и позволяет снизить число операций
декодирования поступающих сообщений.
Новизна метода обработки данных заключается в управлении набором
множеств, на принадлежность к которым проверяется каждое поступающее сообщение, что уменьшает аппаратную сложность операционной части устройства за счёт сокращения числа параллельно работающих блоков, выполняющих процедуры анализа принадлежности групп сообщений множествам, и обеспечивает повышение его производительности.
Процедура формирования цепочек сообщений включает отнесение каждого обрабатываемого сообщения к одной из групп w1 – wM сообщений с одинаковым порядковым номером i в цепочке и размещающихся в соответствующем столбце ПАС. После чего цепочки могут формироваться двумя способами:
 параллельным формированием всех цепочек путём выполнения итераций по добавлению во все цепочки элементов из групп w1 – wM;
 формированием каждой цепочки до конца и лишь затем переходом к формированию следующей.
Соответственно, разработаны два алгоритма формирования цепочек сообщений: итерационный, на основе перебора всех сообщений из ПАС, и рекурсивный алгоритма, в котором содержимое столбцов ПАС передаётся в качестве параметров в соответствующую процедуру. На начальном этапе Nchain =1, ichain = 1, iind = 1, k = 1 u1 = {sstart}, где sstart – последнее сообщение предыдущей цепочки множеств (рис. 2).
Рисунок 2. Блок-схема рекурсивного алгоритма формирования цепочек сообщений.
Рекурсивный алгоритм отличается последовательным формированием цепочек сообщений и проверкой условия возникновения ошибки после каждой сформированной цепочки и позволяет при обнаружении более чем одной цепочки длиной M завершить работу, прекратив заполнение регистровой памяти устройства описателями сформированных цепочек. Это позволяет снизить требуемый объём такой памяти.
Третья глава посвящена оценке с помощью математического и имитационного моделирования вычислительной сложности разработанных алгоритмов и ресурсных затрат для их реализации. Исследуемыми параметрами были: размер матрицы регистров ПАС N и M (M определяется как максимальная длина формируемой приёмником цепочки сообщений), разрядность h поля идентификатора сообщения и K – число формируемых множеств сообщений. В основе математической модели процедуры обработки

сообщений было положено представление получения сообщений приёмником в виде пуассоновского процесса. Получена рекуррентная формула для среднего числа сформированных цепочек сообщений при длине цепочки r:
Nr 
pn1,r,r  p n1,r1 

2h k 12h Nk . (6)

i0  k1 k!
N KkeK 
ch
ch
Ck N1

  
При r = M получим функцию плотности вероятности сформированных цепочек. Итоговая формула для вероятности возникновения ошибки pfl(M) отнесения цепочки сообщений к множеству получена, исходя из вероятности выполнения условия (5) для хотя бы одной
числа из n1,M–1 цепочек. Она является вероятностью совместного наступления
ch
независимых событий:
ch
Вероятность powfl(M) ошибки нехватки размеров ПАС, при которой
число сообщений с одинаковым значением μi превышает число N строк в матрице регистров ПАС, определится как:
  M m
fl
ch

 1,M 

 n1,M 1
1,M  h ch
pM pn 112n .
 (7) 
p M,N1 1  pn ,M . (8)
 owfl  

ind n1,MN

ch
Общая же вероятность возникновения ошибки perr(M, N) определится
как вероятность наступления хотя бы одного из описанных выше событий.
perr M,N11 pfl M1 powfl M,N. (9)
Полученное соотношение позволяет определить зависимость между количеством столбцов M и строк N в ПАС при требуемых значениях вероятности ошибки perr (рис. 3).
Рисунок 3. Зависимость между количеством столбцов M и строк N в матрице ПАС A) perr = 0.25 B) perr = 0.15 C) perr = 0.1.
Исходя из данных графиков, можно утверждать, что целесообразное соотношение между количеством строк N и столбцов M регистровой памяти ПАС лежит в диапазоне 1.5 … 2.0. Большее соотношение нецелесообразно,

так как влечёт лишь увеличение объёма ПАС без уменьшения вероятности возникновения ошибок при обработке сообщений и их идентификаторов.
Затраты внутренней регистровой памяти устройства возникают, во- первых, из-за необходимости хранить адреса сообщений в ПАС, а во-вторых, из-за необходимости для каждой сформированной цепочки хранить номера регистров ПАС, её составляющих. Размеры ПАС выбираются исходя из требуемой протоколом передачи данных достоверности (perr) и полученных выше соотношений. На основе формулы (6) определяется число регистров, требуемых для хранения сформированных цепочек. Проведённые серии математических экспериментов позволили определить целесообразный диапазон для данной характеристики как 20 … 100 (рис. 4).
Рисунок 4. Зависимость числа сформированных цепочек сообщений Nchain от числа строк ПАС N и K=30. 1) M = 10, 2) M = 15, 3) M = 20.
Для оценки влияния типа алгоритма формирования цепочек сообщений на число необходимых регистров внутренней памяти устройства и число операций, выполняемых таким устройством, оба алгоритма были реализованы с помощью имитационной модели. Получена зависимость Nchain среднего числа формируемых устройством цепочек для каждого алгоритма от числа анализируемых сообщений |U| и размера поля служебных данных h (рис. 5). Установлено, что тип алгоритма незначительно влияет на вычислительную сложность процедуры формирования цепочки сообщений: выигрыш в пользу рекурсивного составляет менее 10%.
Рисунок 5. Зависимость Nchain среднего числа цепочек от числа анализируемых сообщений |U| при h = 5.
Числа цепочек, формируемых при использовании рекурсивного алгоритма, снижается на величину до 30% (при вероятности возникновения ошибок perr>0,15) от числа цепочек, формируемых при использовании итерационного, что определяет выбор рекурсивного алгоритма в качестве основного алгоритма обработки данных на основе идентификаторов.
Создана математическая модель оценки числа операций проверки условия (5) при использовании рекурсивного алгоритма обработки данных и получена зависимость отношения T/M числа сравнений к длине цепочки сообщений от числа формируемых множеств сообщений K . Установлено, что данная зависимость является линейной: T/M = 1,5…2.0 ×K.
Четвёртая глава посвящена разработке специализированного вычислительного устройства обработки данных на основе идентификаторов (СВУОДОИ). Структурная схема СВУОДОИ приведена на рис. 6, где сплошной линией показаны направления передачи данных, пунктирной – управляющих и информационных сигналов. Блоки устройства обмениваются данными по двум внутренним шинам: данных сообщения и адреса сообщения в ОБП.
Рисунок 6. Структурная схема специализированного вычислительного устройства обработки данных на основе идентификаторов (СВУОДОИ).
Микропрограммное устройство управления (МПУУ) управляет взаимодействием всех блоков устройства через внутренние шины, вместе с блоком предобработки сообщений осуществляет управление работой блоков анализа сообщений, закрепляя за ними функции определения принадлежности сообщений конкретному множеству. Также МПУУ обеспечивает синхронизацию работы независимых модулей СВУОДОИ.
Блок сопряжения с интерфейсом обеспечивает преобразование сигнала из канала связи в параллельный код сообщения, пригодный для обработки узлами СВУОДОИ. Блок буферизации сообщений состоит из ОЗУ ОБП и схемы управления адресами, которая обеспечивает формирование адреса для очередного записываемого в буфер сообщения, а также поддержание битовой карты занятых областей ОБП.
Несколько независимых блоков анализа сообщений, подключаемых к общей шине, обеспечивают формирование содержимого регистровой памяти адресов сообщений (ПАС) для нескольких множеств. После формирования цепочки сообщений, их адреса передаются на шину адреса, и производится их обработка в соответствии с определёнными для множества правилами.
Функциональная схема одного блока памяти адресов сообщений, работающего в связке с блоком анализа сообщений, приведена на рисунке 7. Она представляет собой матрицу регистров M на N , в которые записывается
i
адрес сообщения в ОБП (с шины адреса), а также слова F A, Sinf , ip 1 и
p
S C   (с шины данных). Выбор регистра хранения происходит по сигналу
ii
от дешифраторов DC 1 – DC M, на которые поступает двоичный номер регистра либо из блока анализа сообщений (при анализе сообщений), либо со считающих регистров CRg 1 – CRg M (при заполнении ПАС).
Рисунок 7. Функциональная схема памяти адресов сообщений.
Для оценки характеристик СВУОДОИ и сравнения их с аналогами, оно было реализовано в системе синтеза и анализа схем Vivado фирмы Xilinx как проект программируемой логической интегральной схемы (ПЛИС). Реализовывалось устройство в виде двух независимых модулей. Первый модуль состоит из блока предобработки сообщений, блока буферизации сообщений и МПУУ. Второй модуль включает в себя блок ПАС, блок анализа сообщений и их независимый блок управления. В одном СВУОДОИ через общую шину объединяются один первый модуль и несколько вторых.
Реализация первого модуля показала, что его схемотехническая сложность составила 3456 логических блоков (LUT Elements) и 114 задействованных блоков ввода – вывода (IOBs). При этом максимальное время распространения сигнала между ножками составило 95 нс. Это время, определяющее длительность такта работы соответствующих частей СВУОДОИ, необходимого для выполнения операции декодирования содержимого поля служебных данных. Сложность второго модуля 4125 логических блоков и 52 задействованных блока ввода – вывода при минимальной длительности такта работы в 16 нс. Более высокая скорость работы схем второго модуля объясняется невысокой сложностью выполняемых им операций сравнения и записи данных в регистры.
Исходя из полученных данных, пригодной для реализации СВУОДОИ
является микросхема xc7s75fgga484-1 фирмы Xilinx. На основании
полученных временных характеристик работы различных частей устройства
получены временные характеристики работы самого СВУОДОИ, которые
сравнивались с аналогичными характеристиками для известных решений. В
соответствии с полученным средним числом тактов работы каждого модуля
и длительностью соответствующих тактов были получены зависимости
между производительностью разрабатываемого СВУОДОИ E2 и eq
производительностью известных решений E1 (рис. 8). eq
Рисунок 8 – График зависимости отношения производительности разрабо- танного СВУОДОИ к производительности устройства, обрабатывающих от- дельные сообщений, от длины цепочек сообщений M.
Реализация метода обработки данных на основе идентификаторов ограниченного размера в разработанном СВУОДОИ, позволяет повысить

число операций обработки сообщений и выработки решений о принадлежности их множествам на 15 – 35 %. Указанное повышение производительности обеспечивается ускорением выполнения операций сравнения содержимого служебных полей и снижением числа трудоёмких операций декодирования данных сообщения.
ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ
Диссертация посвящена решению актуальной научно–технической задачи разработки метода и алгоритмов определения принадлежности групп сообщений адресатам, ориентированных на высокую скорость выполнения при их аппаратной реализации на микроконтроллерах и ПЛИС. Получены следующие результаты:
1. Проведен сравнительный обзор известных методов, алгоритмов и технических средств обработки данных и определения принадлежности сооб- щений конкретному множеству. Показано, что из-за ограниченной пропускной способности каналов связи в некоторых классах современных информацион- но-вычислительных систем накладываются существенные ограничения на размер дополнительных служебных полей сообщений. Это требует при обра- ботке данных использования специализированных методов и средств обработ- ки групп сообщений, повышающих достоверность такой обработки.
2. Разработан метод обработки данных на основе идентификаторов огра- ниченной длины, предполагающий формирование из сообщений логически связанных структур на основе содержимого нескольких битов их служебных полей. Для повышения скорости обработки сообщений метод предусматри- вается сокращение числа множеств, к которым может быть отнесено каждое поступающее сообщение. При этом процедуры обработки данных реализуются в независимых вычислительных блоках устройства, и число таких блоков меньше числа формируемых устройством множеств сообщений.
3. Разработан алгоритм обработки сообщений на основе идентификато- ров и отнесения их к определённым множествам, отличающийся проверкой условия возникновения ошибки после каждой сформированной цепочки со- общений. Это позволяет при формировании цепочек сообщений уменьшить объём задействованной памяти устройства и реализовать память в виде мат- рицы регистров, повысив тем самым скорость выполнения процедур обработ- ки сообщений.
4. Создана математическая модель размещения описателей сообщений во внутренней регистровой памяти специализированного вычислительного устройства обработки данных на основе идентификаторов ограниченной длины, основанная на теории вероятностей и теории случайных процессов, отличающаяся представлением процедур формирования и анализа логически связанных структур сообщений в виде случайного процесса, позволившая оценить требуемый объём регистровой памяти одного вычислительного бло- ка для реализации метода обработки данных. Соотношение между числом строк и числом столбцов матрицы регистров определено как 2:1, что обеспечи-
вает линейную зависимость вычислительной сложности алгоритма от числа формируемых множеств сообщений при вероятности ошибки определения принадлежности сообщения множеству, не превышающей 0,15.
5. На основании созданной имитационной модели реализации метода обработки данных на основе идентификаторов произведена оценка влияния типа алгоритма формирования цепочки сообщений на требуемый объём ре- гистровой памяти устройства. Это позволило за счёт использования рекур- сивного алгоритма формирования цепочек сократить на 30% число регистров в каждом вычислительном блоке устройства.
6. Реализована структурно-функциональная схема специализированно- го вычислительного устройства данных на основе идентификаторов ограни- ченной длины, отличающаяся выполнением длительных по времени опера- ций декодирования сообщений и коротких операций сравнения содержимого дополнительных полей в независимых параллельно работающих модулях. Такое распараллеливание повышает производительность устройства, выра- женную в числе операций обработки сообщений в единицу времени, на 15 – 35 % в сравнении с аналогами, реализующими обработку на основе сравне- ний, выполняемых непосредственно после декодирования сообщений.

Актуальность темы исследования. Создание объединённых в
сложные иерархические комплексы специализированных устройств
мониторинга и управления технологическими процессами, оценки и анализа
состояний технических систем является одним из перспективных
направлений развития средств вычислительной техники и систем
управления. Характерными их чертами являются: разброс скоростей
передачи данных, динамический характер процессов обмена между
адресатами, наличие изменяющихся параметров в передаваемых сообщениях,
сложность алгоритмов анализа и разбора их структуры и ограниченный
размер самих сообщений (в диапазоне от нескольких байтов до нескольких
десятков байтов). Всё это обусловливает необходимость создания
специализированных методов, алгоритмов и средств аппаратной обработки
таких сообщений, которые обладают преимуществом перед программными
способами решения указанных выше задач с точки зрения
производительности оконечного вычислительного оборудования и его
аппаратной сложности. На основе входящих в состав принимаемых
сообщений кодовых последовательностей – идентификаторов – приёмные,
коммутирующие и ретранслирующие устройства (например, беспроводные
микроконтроллеры, объединённые в распределённую информационно-
управляющую систему) формируют непересекающиеся множества
сообщений для их последующей ретрансляции, передачи на обработку и т.п.
в соответствии с определёнными для каждого такого множества правилами.
Корректность обработки поступающих данных в части выделения из
общей информационно-управляющей последовательности наборов
сообщений, относящихся к одному адресату, является важным аспектом
обеспечения правильности функционирования как вычислительных,
информационных и управляющих систем в целом, так и отдельных их
компонентов. Ошибки, возникающие в том числе и из-за ограниченности
размеров цифровых последовательностей, содержащих в себе информацию
об источниках и приемниках сообщений, нарушают работоспособность
оконечных устройств и приводят к снижению их производительности из-за
необходимости переспросов обработанных с ошибками данных.
В основе создания средств аппаратной обработки данных на основе
идентификаторов лежит необходимость обеспечения требуемой
достоверности обработки, высокой производительности разрабатываемых
устройств и их приемлемой аппаратной сложности, так как они являются
частью оконечного оборудования приема и обработки потока сообщений.
Степень разработанности темы исследования. Созданию различных
алгоритмов, технических решений и устройств для обработки сообщений
посвящены работы Гурина О. Д. Ёкокава Т. Маллади Д. Бухарина В. В.
Горохова А. В основе их методов и проектируемых устройств лежит
отнесение сообщений множествам на основе результатов обработки
идентификаторов, введённых в состав сообщений. Вопросы разработки и
применения процессоров общего назначения и специализированных
процессоров, в том числе для задач обработки и анализа идентификаторов
сообщений, отражены в трудах В.В. Корнеева, А.В. Киселева,
И. В.Кривченко, В. В. Гребнева, Н.И. Витиски. Тем не менее, выделение из
сообщений и обработка идентификаторов ограниченной длины как ведущий
замысел исследования, влияющий на производительность устройств, не
рассматривались в этих трудах. Методам обработки объединённых в группы
сообщений ограниченной длины посвящены работы М. Белла (M.Bellare), В.
Сталлингса (W. Stallings), (M. Dworkin), Д. Блэка (J. Black), Р. Мишры (R.
Mishra), С. Шарма (S. Sharma), Б. Отмана (B. Othman).
Анализ литературы показал, что существующие алгоритмы обработки
данных на основе идентификаторов обладают либо недостаточной
достоверностью при ограниченном размере идентификатора (алгоритмы,
основанные на анализе каждого отдельного сообщения), либо высокой
вычислительной сложностью (алгоритмы анализа групп сообщений). Таким
образом, актуальной является научно-техническая задача разработки
метода и алгоритмов определения принадлежности групп сообщений
адресатам, ориентированных на высокую скорость выполнения при их
аппаратной реализации на микроконтроллерах и ПЛИС.
Объект исследования: элементы и устройства обработки данных на
основе идентификаторов.
Предмет исследования: методы и алгоритмы определения
принадлежности сообщений независимым адресатам.
Цель работы. Целью диссертационной работы является повышение
производительности устройств обработки данных, представленных в виде
сообщений ограниченной длины.
Задачи исследований:
1. Проведение аналитического обзора современных методов,
алгоритмов и технических решений обработки данных на основе их
идентификаторов.
2. Создание метода обработки данных на основе идентификаторов.
3. Разработка алгоритмов обработки данных и отнесения групп
сообщений целевому множеству на основе идентификаторов.
4. Разработка вычислительного специализированного устройства
обработки данных на основе идентификаторов.
5. Оценка вычислительной сложности алгоритма обработки данных на
основе идентификаторов и схемотехнической сложности и
производительности специализированного вычислительного устройства, его
реализующего.
Новыми научными результатами и положениями, выносимыми на
защиту, являются:
1. Метод обработки данных на основе идентификаторов, основанный
на формировании групп сообщений и проверки для таких сформированных
групп условий принадлежности их конкретному множеству сообщений,
отличающийся исключением из анализа по результатам обработки
содержимого поступающего сообщения части множеств, позволяющий
снизить аппаратную сложность специализированного вычислительного
устройства обработки данных на основе идентификаторов и повысить его
производительность.
2. Алгоритм формирования цепочек сообщений, основанный на
выполнении операций сравнения идентификатора сообщения с
предварительно сформированными кодовыми последовательностями,
характеризующими предыдущее и последующее сообщения в цепочке
сообщений, отличающийся порядком добавления сообщений в формируемые
цепочки и проверкой условия возникновения ошибки после каждой
сформированной цепочки, позволяющий уменьшить число задействованных
при его реализации блоков регистровой памяти специализированного
вычислительного устройства обработки данных.
3. Созданные на основе аппарата теории вероятности и теории
случайных процессов математические модели определения принадлежности
сообщения множеству, отличающиеся представлением записи описателей
буферизированных сообщений в регистровой памяти устройства как
линейного динамического процесса, позволившие определить
целесообразные характеристики синтезируемого специализированного
вычислительного устройства обработки данных на основе идентификаторов.
4. Структурно-функциональная организация специализированного
вычислительного устройства обработки данных на основе идентификаторов,
отличающаяся параллельным выполнением операций предобработки и
анализа входящих сообщений в независимых асинхронно работающих блоках,
позволяющая повысить производительность устройства обработки данных на
основе идентификаторов за счёт уменьшения числа и длительности
выполняемых операций при определении принадлежности группы сообщений
целевому множеству.
Достоверность результатов диссертационной работы обеспечивается
корректным и обоснованным применением методов проектирования
цифровых устройств, аппарата математической логики, положений и методов
теории вероятностей, теории случайных процессов и математической
статистики, а также подтверждается имитационным моделированием с
использованием разработанного программного обеспечения.
Практическая ценность результатов исследований:
1. Разработан алгоритм управления статусами множеств
сообщений, основанный на отказе от проверки принадлежности сообщений
неактивному множеству, отличающийся проверкой порядкового номера
сообщения в группе сообщений неактивного множества и его обработке
только в случае если номер не превышает определённое для каждого
множества значение, меньшее длины формируемой цепочки, что позволяет
снизить аппаратную сложность специализированного вычислительного
устройства обработки данных на основе идентификаторов за счёт того, что
число независимых вычислительных блоков устройства, выполняющих
процедуры обработки сообщений, меньше числа анализируемых множеств
сообщений.
2. Созданный алгоритм формирования цепочек сообщений,
отличающий рекурсивным вызовом процедуры сравнения описателя
текущего сообщения, позволяет за счёт изменения порядка добавления
сообщения в цепочку и завершения работы после выполнения условия
возникновения ошибки сократить в каждом вычислительном блоке
специализированного вычислительного устройства обработки данных на
основе идентификаторов на 30% число регистров, требуемых для хранения
адресов сообщений, за счет досрочного прекращения формирования цепочки
сообщений с общим адресатом.
3. На основе разработанной математической модели записи
идентификаторов сообщений во внутренней регистровой памяти
специализированного вычислительного устройства обработки данных,
отличающейся представлением процедур формирования и анализа логически
связанных структур сообщений в виде случайного процесса, определено
целесообразное соотношение 2:1 между числом строк и столбцов матричной
регистровой памяти, хранящей адреса буферизированных сообщений, что за
счёт сокращения числа вариантов формирования цепочек сообщений сделало
линейной вычислительную сложность алгоритма формирования цепочек
сообщений в диапазоне вероятности возникновения ошибки обработки
данных от 0 до 0,15.
4. Разработанная структурно-функциональная организация
специализированного вычислительного устройства обработки данных на
основе идентификаторов, позволяет за счёт снижения числа декодирований
сообщений и реализации декодирования в отдельном структурном блоке,
повысить число операций обработки сообщений в единицу времени на 15 –
35 %.
Результаты полученных в диссертации теоретических и прикладных и
экспериментальных исследований используются в ООО «Щит-СБ» и учебном
процессе Юго–Западного государственного университета при обучении
студентов по направлениям 10.04.01 «Информационная безопасность»
(дисциплины «Математическое моделирование технических объектов и систем
управления), 10.03.01 «Информационная безопасность» (дисциплина
«Проектирование защищенных автоматизированных систем») и 10.05.02
«Информационная безопасность телекоммуникационных систем» (дисциплина
«Проектирование защищённых телекоммуникационных систем»).
Соответствие диссертации паспорту научной специальности
В соответствии с п. 1 формулы научной специальности 05.13.05 –

Заказать новую

Лучшие эксперты сервиса ждут твоего задания

от 5 000 ₽

Не подошла эта работа?
Закажи новую работу, сделанную по твоим требованиям

    Нажимая на кнопку, я соглашаюсь на обработку персональных данных и с правилами пользования Платформой

    Читать

    Читать «Метод и алгоритм обработки данных на основе идентификаторов в специализированном вычислительном устройстве»

    Публикации автора в научных журналах

    Таныгин М.О., Алшаиа Х.Я., Добрица В. П, // Труды МАИ. 2Выпуск No DOI: https://doi.org/34759/trd-2020-114
    Рекурсивный алгоритм формирования структурированных множеств информационных блоков для повышения скорости выполнения процедур определения их источника
    М.О. Таныгин, Х.Я.А. Алшаиа, В.П. Добрица, О.Г. Добросердов // Известия Юго-Западного госу-дарственного университета. 2– No – С. 51
    Принципы организации буферной памяти специализированного приёмника, определяющего источник поступающих данных
    XVI Международная научно‐техническая конференция «Распознавание – 2Курск, 2С. 44-Алшаиа Х.Я. Формальное описание модели предобработки блока данных для систем с ограниченным размером дополнительных служебных полей // Инфокоммуникации и космические технологии: состояние, пробле- мы и пути решения: сборник научных статей по материалам V Всероссий- ской НПК. в Ч. Курск, 2С. 131
    Построение модели для сокращения быстродействующих аппаратных затрат на анализ служебных полей блоков информаций
    Алшаиа Х.Я.// Инновации технических решений в машиностроении и транспорте: сборник научных статей по материалам VII Всероссийской научно-техническая конференция для молодых ученых и студентов с между- народным участием / отв. ред. В.В. Салмина. Пенза, 2С. 25-29 20
    Алгоритм необратимых преобразования для системы контроля целостности цепочек пакетов в сетях с низкой пропускной способностью
    Таныгин М.О., Алшаиа Х.Я., Берлизева В.А. // Инфоком- муникации и космические технологии: состояние, проблемы и пути решения: сборник научных статей по материалам III Всероссийской НПК. Курск, 2С. 165

    Помогаем с подготовкой сопроводительных документов

    Совместно разработаем индивидуальный план и выберем тему работы Подробнее
    Помощь в подготовке к кандидатскому экзамену и допуске к нему Подробнее
    Поможем в написании научных статей для публикации в журналах ВАК Подробнее
    Структурируем работу и напишем автореферат Подробнее

    Хочешь уникальную работу?

    Больше 3 000 экспертов уже готовы начать работу над твоим проектом!

    Глеб С. преподаватель, кандидат наук, доцент
    5 (158 отзывов)
    Стаж педагогической деятельности в вузах Москвы 15 лет, автор свыше 140 публикаций (РИНЦ, ВАК). Большой опыт в подготовке дипломных проектов и диссертаций по научной с... Читать все
    Стаж педагогической деятельности в вузах Москвы 15 лет, автор свыше 140 публикаций (РИНЦ, ВАК). Большой опыт в подготовке дипломных проектов и диссертаций по научной специальности 12.00.14 административное право, административный процесс.
    #Кандидатские #Магистерские
    216 Выполненных работ
    Екатерина П. студент
    5 (18 отзывов)
    Работы пишу исключительно сама на основании действующих нормативных правовых актов, монографий, канд. и докт. диссертаций, авторефератов, научных статей. Дополнительно... Читать все
    Работы пишу исключительно сама на основании действующих нормативных правовых актов, монографий, канд. и докт. диссертаций, авторефератов, научных статей. Дополнительно занимаюсь английским языком, уровень владения - Upper-Intermediate.
    #Кандидатские #Магистерские
    39 Выполненных работ
    Сергей Е. МГУ 2012, физический, выпускник, кандидат наук
    4.9 (5 отзывов)
    Имеется большой опыт написания творческих работ на различных порталах от эссе до кандидатских диссертаций, решения задач и выполнения лабораторных работ по любым напра... Читать все
    Имеется большой опыт написания творческих работ на различных порталах от эссе до кандидатских диссертаций, решения задач и выполнения лабораторных работ по любым направлениям физики, математики, химии и других естественных наук.
    #Кандидатские #Магистерские
    5 Выполненных работ
    Ольга Р. доктор, профессор
    4.2 (13 отзывов)
    Преподаватель ВУЗа, опыт выполнения студенческих работ на заказ (от рефератов до диссертаций): 20 лет. Образование высшее . Все заказы выполняются в заранее согласован... Читать все
    Преподаватель ВУЗа, опыт выполнения студенческих работ на заказ (от рефератов до диссертаций): 20 лет. Образование высшее . Все заказы выполняются в заранее согласованные сроки и при необходимости дорабатываются по рекомендациям научного руководителя (преподавателя). Буду рада плодотворному и взаимовыгодному сотрудничеству!!! К каждой работе подхожу индивидуально! Всегда готова по любому вопросу договориться с заказчиком! Все работы проверяю на антиплагиат.ру по умолчанию, если в заказе не стоит иное и если это заранее не обговорено!!!
    #Кандидатские #Магистерские
    21 Выполненная работа
    Лидия К.
    4.5 (330 отзывов)
    Образование высшее (2009 год) педагог-психолог (УрГПУ). В 2013 году получено образование магистр психологии. Опыт преподавательской деятельности в области психологии ... Читать все
    Образование высшее (2009 год) педагог-психолог (УрГПУ). В 2013 году получено образование магистр психологии. Опыт преподавательской деятельности в области психологии и педагогики. Написание диссертаций, ВКР, курсовых и иных видов работ.
    #Кандидатские #Магистерские
    592 Выполненных работы
    Мария А. кандидат наук
    4.7 (18 отзывов)
    Мне нравится изучать все новое, постоянно развиваюсь. Могу написать и диссертацию и кандидатскую. Есть опыт в различных сфера деятельности (туризм, экономика, бухучет... Читать все
    Мне нравится изучать все новое, постоянно развиваюсь. Могу написать и диссертацию и кандидатскую. Есть опыт в различных сфера деятельности (туризм, экономика, бухучет, реклама, журналистика, педагогика, право)
    #Кандидатские #Магистерские
    39 Выполненных работ
    Шиленок В. КГМУ 2017, Лечебный , выпускник
    5 (20 отзывов)
    Здравствуйте) Имею сертификат специалиста (врач-лечебник). На данный момент являюсь ординатором(терапия, кардио), одновременно работаю диагностом. Занимаюсь диссертац... Читать все
    Здравствуйте) Имею сертификат специалиста (врач-лечебник). На данный момент являюсь ординатором(терапия, кардио), одновременно работаю диагностом. Занимаюсь диссертационной работ. Помогу в медицинских науках и прикладных (хим,био,эколог)
    #Кандидатские #Магистерские
    13 Выполненных работ
    Олег Н. Томский политехнический университет 2000, Инженерно-эконо...
    4.7 (96 отзывов)
    Здравствуйте! Опыт написания работ более 12 лет. За это время были успешно защищены более 2 500 написанных мною магистерских диссертаций, дипломов, курсовых работ. Явл... Читать все
    Здравствуйте! Опыт написания работ более 12 лет. За это время были успешно защищены более 2 500 написанных мною магистерских диссертаций, дипломов, курсовых работ. Являюсь действующим преподавателем одного из ВУЗов.
    #Кандидатские #Магистерские
    177 Выполненных работ
    Татьяна П.
    4.2 (6 отзывов)
    Помогаю студентам с решением задач по ТОЭ и физике на протяжении 9 лет. Пишу диссертацию на соискание степени кандидата технических наук, имею опыт годовой стажировки ... Читать все
    Помогаю студентам с решением задач по ТОЭ и физике на протяжении 9 лет. Пишу диссертацию на соискание степени кандидата технических наук, имею опыт годовой стажировки в одном из крупнейших университетов Германии.
    #Кандидатские #Магистерские
    9 Выполненных работ

    Последние выполненные заказы

    Другие учебные работы по предмету

    Цифровые структурно-аналоговые времяимпульсные элементы и устройства
    📅 2022 год
    🏢 ФГАОУ ВО «Санкт-Петербургский государственный электротехнический университет «ЛЭТИ» им. В.И. Ульянова (Ленина)»