Высокопроизводительная система управления многокоординатными технологическими мехатронными объектами на основе память-ориентированного подхода и распределения вычислений

Бесплатно
Работа доступна по лицензии Creative Commons:«Attribution» 4.0
Харьков Михаил Александрович
Бесплатно
Работа доступна по лицензии Creative Commons:«Attribution» 4.0

Введение
Глава 1. Анализ решений в области построения систем управления мехатронными технологическими комплексами
1.1 Проблема построения высококачественных систем управления для прецизионных и высокопроизводительных технологических мехатронных комплексов
1.2 Основные задачи и средства управления технологическими мехатронными комплексами
1.3 Анализ промышленных протоколов передачи данных для технологических мехатронных комплексов
1.4 Анализ влияния длительности такта цифрового управления на динамические свойства мехатронных и робототехнических систем
1.5 Выводы по результатам первой главы
Глава 2. Разработка структуры быстродействующей распределенной системы управления мехатронными комплексами на основе память-ориентированного подхода
2.1. Анализ структур цифровых систем управления технологических робототехнических и мехатронных комплексов и обоснование требуемой длительности цикла управления
2.2. Математическая модель пятикоординатного обрабатывающего центра с глобусным поворотным столом как пример многокоординатной технологической мехатронной системы
2.3. Разработка алгоритма решения обратной задачи кинематики для управления пятикоординатным обрабатывающим центром с глобусным поворотным столом и анализ времени его выполнения
2.4. Формирование структуры высокопроизводительного цифрового устройства управления мехатронными системами на основе принципа распределенных вычислений и память-ориентированного подхода
2.5. Выводы по результатам второй главы
3
Глава 3. Разработка распределенной системы управления технологическими мехатронными комплексами на основе память-ориентированного подхода
3.1 Разработка программно-аппаратной архитектуры центрального и периферийных компонентов распределенной системы управления
3.2 Разработка коммуникационной системы в составе распределенной системы управления на основе память-ориентированного подхода
3.3 Реализация кинематического вычислительного модуля системы управления пятикоординатного обрабатывающего центра
3.4 Выводы по результатам третьей главы
Глава 4. Примеры практического применения разработанной высокопроизводительной распределенной системы управления мехатронными комплексами
4.1 Система смены инструмента фрезерного станка на базе распределенной системы управления
4.2 Система безопасности мехатронного технологического оборудования и защиты оператора на базе распределенной системы управления
4.3 Натурные испытания пятикоординатной механической обработки на обрабатывающем центре с глобусным поворотным столом
4.4 Выводы по результатам четвёртой главы
Заключение
Список сокращений
Список литературы
Приложение А. Листинг программы расчета ОЗК и вычисления времени на персональном компьютере
Приложение Б. Программно-аппаратная архитектура центрального вычислительного модуля распределенной системы управления
Приложение В. Аппаратная архитектура периферийного модуля распределенной системы управления
Приложение Г. Архитектура контроллера связи в составе модулей распределенной системы управления

4
Приложение Д. Технологическая программа для ПЛК процесса смены инструмента
Приложение Е. Аппаратная архитектура модуля контроллера безопасности распределенной системы управления
Приложение Ж. Результаты испытания процесса пятикоординатной механической обработки с использованием разработанной системы управления
Приложение З. Справка об использовании диссертационного исследования

Во введении обоснована актуальность темы исследования, сформулированы цели и задачи работы, показана научная новизна и практическая значимость результатов. Изложены основные положения, выносимые на защиту, сведения об апробации и приведена структура работы.
В первой главе приводится обоснование того, что для производства конкурентоспособной, качественной и недорогой продукции в условиях быстрого изменения условий рынка и предпочтений потребителя необходимо применение эффективных технологических мехатронных комплексов, к числу которых относятся роботы и станки со сложной кинематической структурой, а также автоматизированных систем цехового уровня и уровня предприятия для повышения качества управления. Также подчеркнута важность разработки и импортозамещения многокоординатных технологических машин и их систем управления для обеспечения технологической независимости государства.
Были описаны различные подходы к разработке систем управления, на разных полюсах которых стоят аппаратные системы управления и программные системы управления на базе ПК. И тот и другой подход имеют свои преимущества и недостатки, поэтому на современном этапе развития целесообразно применять гибридные структуры систем.
Были представлены основные задачи управления и определены основные виды средств управления современными мехатронными системами и оборудованием для автоматизации технологических процессов, в разной степени реализующих основные задачи управления. Системы ЧПУ и системы управления роботами, как частный случай систем ЧПУ, являются наиболее продвинутыми видами автоматизированных систем управления в плане заложенных в них функций.
Поскольку современные системы управления РТК или СЧПУ строятся по модульному принципу, большое значение имеют коммуникационные интерфейсы и протоколы связи компонентов системы, реализующих различные задачи. Проведен аналитический обзор промышленных протоколов передачи данных, применяемых в современных системах управления технологическими мехатронными комплексами, и приведено время синхронизации данных этих протоколов.
Установлено влияние длительности и стабильности цикла управления на точность движения исполнительных механизмов мехатронных систем, что накладывает требования на частоту квантования управляющих сигналов в цифровой системе управления высокодинамичного технологического оборудования.
На основе проведенного анализа и сформулированных требований сделан вывод о том, что существующие программно-аппаратные и коммуникационные решения зачастую не способны обеспечить требуемую длительность цикла обмена информацией и приемлемый уровень детерминированности исполнения алгоритмов управления, что говорит о необходимости реализации новых программно-аппаратных решений для достижения требуемых параметров управления технологическими мехатронными системами.
Вторая глава посвящена разработке структуры быстродействующей системы управления мехатронными комплексами, обеспечивающей требуемую длительность такта для высокой динамики и точности движения.
Рассмотрены возможные структуры систем управления технологического мехатронного оборудования, в частности централизованная и децентрализованная. Особенностью централизованной системы управления многокоординатным объектом является тот факт, что устройство цифрового программного управления включает в себя позиционный и скоростной контуры всех в совокупности приводов объекта, а также включает токовый контур. Управление силовыми ключами приводов чаще всего осуществляется прямым ШИМ-управлением. Особенность децентрализованной системы управления многокоординатным объектом состоит в том, что она содержит устройство цифрового программного управления мехатронной системой и несколько контроллеров следящих приводов, в которых замкнуты позиционный, скоростной и токовый контуры. Управление чаще всего производится посредством передачи информации о целевом положении в цифровом виде по протоколу связи.
Были определены требования по длительности цикла управления, в частности для децентрализованной системы определены требования к длительности цикла решения логической и геометрической задач управления, с учётом особенностей выполнения кинематических преобразований, что характерно для промышленных роботов и многокоординатных станков со сложной кинематической структурой. Для достижения требуемых высоких динамических свойств мехатронных и робототехнических систем и, прежде всего, их быстродействия и точности движений обоснована необходимость реализации достаточно малого значения длительности цикла управления, не превышающего 200 мкс, что свидетельствует о высокой требуемой вычислительной производительности системы управления. Установлено, что порог времени решения геометрической и логической задачи не должны превышать 80мкс каждая, а время решения обратной задачи кинематики (ОЗК) не должно превышать 48мкс. Для централизованной системы требования по времени еще более жесткие и труднодостижимые.
Для определения алгоритма решения ОЗК в качестве мехатронного объекта был взят вертикально-фрезерный пятикоординатный обрабатывающий центр с глобусным поворотным столом (рисунок 1а). На рисунке 1б показана связь точки контакта инструмент-деталь (P) с системой координат детали (OW).
а) б)
Рисунок 1 – Вид пятикоординатного обрабатывающего центра (а) и связь точки
контакта инструмент-деталь (P) с системой координат детали (OW) (б)
При построении математической модели обрабатывающего центра для описания вращательных и поступательных связей между соседними узлами (звеньями) использовался матричный метод последовательного построения систем координат, предложенный Денавитом и Хартенбергом (ДХ). На основе кинематической схемы обрабатывающего центра (рисунок 2) была сформирована таблица 1 с содержанием ДХ-параметров.
Таблица 1 – ДХ-параметры пятикоординатного обрабатывающего центра Звенья Θi di ai αi
1′-2′ 2′-Деталь
1-2
2-3 3- Центр
инструмента Ot
Базовая СК 1’ – СК детали
ΘА* 0 0 -90°
ΘC* – 90° -dw 0 0 Базовая СК 1 – СК инструмента
90° d2* a2 90°
90° d3* 0° d4*-L
0 90° 0 0°
Базовая СК 1’- Базовая СК 1
1’-1 0° 0 a1 0°
11

Рисунок 2 – Кинематическая схема обрабатывающего центра с двумя вращательными и тремя поступательными парами
Для решения ОЗК используются выражения (1), (2) и (3). Выходными данными являются обобщенные координаты d2*, d3*, d4*, ΘА*, ΘС*. В результате совмещения машинных координат с базовой системой координат получим dX=d2*, dY=d3*, dZ=d4* ΘА*=A, ΘС*=C.
(1) (2)
*  13  C =arctan ,
* =arccos( ), A 33
cos(* ) − 
d*=−a +sin(*)(d − )+ cos(*)sin(*)+ cos(*)cos(*)
sin(* )
3 1 A w Otz Otx A C Oty A C
d* = 
2 Otx C Oty C
, d*=L−a −cos(*)(d − )+ sin(*)sin(*)+ cos(*)sin(*)
   23
(3) 4 2 A w Otz Otx A C Oty C A
где 13, 23 и 33 – компоненты матрицы ориентации, заданной технологом:
(4)
11 12 13  A =   ,
техн 21 22 23    
 31 32 33
11 = (iw , it ), 12 = (iw , jt ), 13 = (iw , kt )
где  21 = ( jw , it ),  22 = ( jw , jt ),  23 = ( jw , kt ) . 31 = (kw,it ), 32 = (kw, jt ), 33 = (kw,kt )
Критерием корректности задания технологом матрицы Aтехн является тот факт, что сумма квадратов компонентов строки или столбца равна 1. Компоненты Ot также задаются технологом и определяются выражением (5):
(5)
где компоненты P – координаты точки контакта в системе координат детали, а компоненты b определяют координаты точки контакта в системе координат инструмента и косвенно связаны с формой инструмента (рисунок 1б).
Компоненты, заданные технологом, являются для (1), (2) и (3) входными параметрами.
Алгоритм решения ОЗК был реализован на персональном компьютере с операционной системой Linux с надстройкой в виде «реал-тайм». На рисунке 3 представлены результаты замера времени расчета ОЗК на персональном компьютере для обрабатывающего центра при многократном повторении.
Рисунок 3 – Результаты замера времени расчета ОЗК на персональном компьютере для обрабатывающего центра при многократном повторении
Как видно на графике, есть редкие моменты, когда время расчета существенно больше среднего показателя и значительно превышает пороговое время (48мкс). При этом среднее время расчета ОЗК на персональном
  px−11bx−12by−13bz  Otx  
Oty=py −21bx −22by −23bz,
 
   − b − b − b  Otzpz 31x 32y 33z
компьютере по данным проведенного исследования составляет относительно незначительную величину (350-360нс). По результатам нескольких выполнений программы расчета, можно сказать, что максимальное время расчета ОЗК может составлять сотни микросекунд и достигать 1мс. Особенности аппаратного обеспечения ПК и необходимость применения операционной системы не позволяет достигнуть приемлемого уровня длительности цикла и высокой детерминированности. Это говорит о необходимости предложить программные и аппаратные решения для повышения производительности вычислений ёмких задач и обеспечения требуемого цикла высококачественного управления.
Обоснован выбор в качестве аппаратной архитектуры центрального вычислительного устройства системы управления выбрать решение на базе софт-процессора в топологии программируемой логической интегральной схемы (ПЛИС), т.е. программно-синтезированного на языке описания логических структур микропроцессорного ядра. Приведены основные преимущества данного решения, среди которых – возможность реализации многопроцессорной архитектуры и возможность добавления аппаратных пользовательских процессорных инструкций и аппаратных сложно- функциональных блоков (сф-блоки, ip-cores – англ.), решающих вычислительные и коммуникационные задачи. Эти преимущества дают хорошие возможности для широкого вычислительного параллелизма и, как следствие, снижения длительности вычислительных процессов.
В настоящее время наблюдается эффект сдерживания производительности цифровой системы потолком производительности памяти, который в международной литературе описывается термином «стена памяти» («memory wall» – англ.). Производительные процессоры в ПК вынуждены простаивать в момент ожидания данных от памяти. Для синтеза процессорной архитектуры цифрового устройства в составе системы управления технологическим мехатронным оборудованием было предложено использовать память- ориентированный подход. Этот подход подразумевает гибкое использование различных видов памяти в архитектуре цифрового устройства, а также возможность вынесения вычислительных алгоритмов в отдельные участки архитектуры, представленные в виде областей памяти. Показано, что софт- процессор на базе ПЛИС дает возможность реализации данного подхода, что является эффективным инструментом для повышения вычислительной производительности и снижения такта управления за счет распределения вычислений по ядрам микропроцессорной архитектуры и внешним модулям системы, а также за счет использования сложно-функциональных блоков периферии для вычислительных и коммуникационных алгоритмов.
Геометрическая задача требует определенного цикла управления и четкой детерминированности вычислительных процессов для выдачи управляющего воздействия на контроллеры следящих приводов. Логическая задача, связанная с управлением электроавтоматикой (ЭА) мехатронного объекта управления и обеспечением безопасности функционирования посредством контроллера безопасности (КБ), также требует высокой детерминированности, низкого значения времени цикла управления и возможности масштабирования. Следуя,
этой логике, геометрическая и логическая задачи распределены по отдельным ядрам процессорной архитектуры цифрового устройства управления мехатронной системой. Ядра процессорной архитектуры в соответствии с память-ориентированным подходом объединены двух-портовой оперативной памятью (ДП ОЗУ) с арбитражем ее использования для взаимодействия.
Для управления электроавтоматикой (ЭА) и обеспечения безопасности функционирования посредством контроллера безопасности (КБ), тесно связанного с ЭА, необходимо использование периферийных модулей в структуре системы управления мехатронного объекта, поскольку одним из условий решения логической задачи управления является масштабируемость. В соответствии с память-ориентированным подходом внешние периферийные модули должны отображаться как области памяти в центральном модуле системы управления. Взаимодействие с периферийными модулями также требует высокой детерминированности и малого времени обмена данными. Было доказано, что наилучшим с точки зрения времени обмена данными является соединение модулей по топологии «кольцо».
Как уже было показано выше, в геометрическую задачу управления промышленными роботами и многоосевыми станками входит решение обратной задачи кинематики, которая является вычислительно емким и ограниченным во времени вычисления процессом. Для снижения использования процессорного времени посредством распределения вычислений решение ОЗК целесообразно реализовать на специализированном вычислительном сложно-функциональном блоке. СФ-блок решения ОЗК может быть выполнен в архитектуре ядра, отвечающего за решение геометрической задачи. Однако, есть возможность его реализации на периферийном модуле, будем называть его кинематический вычислительный модуль (КВМ).
В результате полученных выводов сформирована структура системы управления мехатронных и робототехнических комплексов на основе высокопроизводительного устройства управления, построенного в соответствии с память-ориентированным подходом и принципом распределенных вычислений с внешним КВМ (рисунок 4).
Объектом управления мехатронной системы на рисунке 4 является многокоординатным и включает в себя исполнительные двигатели (М), преобразователи движения и механические компоненты мехатронной системы, например, подвижные элементы пятикоординатного обрабатывающего центра. Исполнительные двигатели снабжены датчиками положения ротора (ДПР), передающими данные в контроллеры следящих приводов – сервопреобразователи. Опционально в контур серворегулирования могут включаться датчики положения механизма (ДПМ), устанавливающиеся после преобразователей движения (ПД) – шариковинтовых пар или редукторов, образуя вторую цепочку обратных связей. Как правило, для ограничения ходов осей устанавливаются ограничительные концевые выключатели (ОК). ОК также могут быть использованы в процессе базирования осей в случае применения ДПР (или ДПМ при наличии) не абсолютного, а инкрементального типа. Устройство цифрового программного управления мехатронной системой включает в себя
центральный вычислительный модуль (ЦВМ) и ряд периферийных модулей. В ЦВМ реализована двухъядерная софт-процессорная архитектура (CPU1 и CPU2), объединенная общей двухпортовой памятью (ДП ОЗУ) и разделяющая задачи управления по ядрам. Кольцевой интерфейс (КИ) представляет периферийные модули в виде областей памяти (ОЗУ) с точки зрения ЦВМ.
Устройство цифрового программного управления мехатронной системой

~
ЦВМ
CPU
CPU 2
ДП ОЗУ
CPU 1
ЭА
ОЗУ
КБ
КА
СЗО
~ … ~ мм
ЭА
T
P
ДПР
ОК
ПД
ДПМ
ПД
ДПМ
Объект управления мехатронной системы
3~80В
~
КВМ
КИ
Контроллер следящего привода 1
Контроллер следящего привода N
Рисунок 4 – Структура системы управления с новым устройством управления на базе принципа распределенных вычислений с внешним КВМ
Периферийные модули включают в себя модули управления электроавтоматикой (ЭА), состав и количество которых определяется набором вспомогательных систем мехатронного комплекса. К вспомогательным системам, совокупно обозначающимся понятием «электроавтоматика» (ЭА),
могут относиться освещение рабочей зоны, система смазки, система подачи СОЖ, система смены инструмента, контроль давления в пневмосистеме, контроль температуры и т.д. Также к периферийным модулям относится и контроллер безопасности (КБ), косвенно входящий в управление электроавтоматикой. КБ совместно с модулями ЭА управляет питанием высоким напряжением следящих приводов посредством коммутационной аппаратуры (КА) на основе информации о состоянии средств защитного отключения (СЗО), к которым относятся аварийные концевые выключатели, «грибок» безопасности и т.д.
Также к периферийным модулям относится и кинематический вычислительный модуль (КВМ), опционально входящий в набор модулей и реализующий концепцию распределенных вычислений. КВМ имеет в составе софт-процессор (CPU).
В качестве итога второй главы сделан вывод о том, что применение вычислительных модулей на базе ПЛИС, соединенных в сеть по топологии «кольцо» и включающие аппаратные вычислительные структуры, решает задачи высококачественного управления. Память-ориентированный подход построения устройства управления обеспечивает малые задержки при взаимодействии составных компонентов и высокий уровень распараллеливания вычислений.
В третьей главе содержится описание реализации структурных решений, принципов и алгоритмов, описанных в главе 2 для снижения длительности цикла работы распределенной системы управления технологическими мехатронными комплексами.
Для центрального вычислительного модуля была разработана двухъядерная архитектура на базе софт процессора NIOS II, имеющего возможность свободного распространения. Архитектура погружена в топологию ПЛИС Altera Cyclone IV, изготовленную по технологии 60 нм, которую поддерживают отечественные предприятия микроэлектронной промышленности. Архитектура разработанного центрального модуля распределенной системы управления технологическим мехатронным оборудованием показана на рисунке 5.
Для периферийных модулей системы управления, которые служат для доставки информации об объекте управления и выдачи управляющих воздействий посредством дискретных и аналоговых сигналов, в качестве управляющего устройства также выбрана ПЛИС Altera, изготовленная по технологии 60 нм, которую поддерживают отечественные предприятия микроэлектронной промышленности. Для простых периферийных модулей, например, модулей обслуживания входов/выходов, выбрана ПЛИС по технологии CPLD и без применения софт-процессора в топологии микросхемы (рисунок 6). ПЛИС по технологии CPLD не имеют возможности реализации софт-процессора из-за особенностей внутреннего строения. Они дешевы и оправданы по экономическим соображения для решений, не требующих значительного количества логических элементов микросхемы ПЛИС.
В периферийных модулях, предназначенных для сложных вычислительных процессов, целесообразно использование ПЛИС типа FPGA с применением софт-процессора (рисунок 7). Примером периферийного модуля с применением
технологии софт-процессора является периферийный модуль для решения ОЗК – кинематический вычислительный модуль (КВМ), построенный в рамках концепции распределенных вычислений.
Инвариантной частью периферийных модулей является контроллер интерфейса связи, а физический уровень интерфейса также, как и в центральном модуле является вариативным.
TTL, LVDS, Ethernet PHY
Ethernet PHY
SRAM, SDRAM, Flash, EEPROM
Ethernet PHY
ПЛИС FPGA
Контроллер интерфейса шины
Контроллер Ethernet
Контроллер Ethernet
DMA
Контроллер внешней памяти
DMA
DMA
ОЗУ
ОЗУ
ОЗУ
Процессор 1
Процессор 2
Счетчики, таймеры и т.д.
Счетчики, таймеры и т.д.
Специализированный математический сопроцессор
Специализированный математический сопроцессор
Рисунок 5 – Структурная схема процессорной архитектуры центрального элемента распределенной системы управления
Дискретные, аналоговые, дифференциальные входы / выходы
Контроллер ввода/вывода
Контроллер интерфейса шины
ПЛИС CPLD
TTL, LVDS, Ethernet PHY
TTL, LVDS, Ethernet PHY
Рисунок 6 – Структурная схема архитектуры периферийного модуля системы управления без применения софт-процессора
Двухпортовое ОЗУ

Процессор
Двухпортовое ОЗУ
DMA
Контроллер интерфейса шины
ПЛИС FPGA
TTL, LVDS, Ethernet PHY
TTL, LVDS, Ethernet PHY
Рисунок 7 – Структурная схема архитектуры периферийного модуля системы управления с применением софт-процессора
Для обмена данными между модулями системы был разработан контроллер кольцевого интерфейса и новый протокол связи. На рисунках 5, 6 и 7 обозначен как «контроллер интерфейса шины». Ключевой особенностью разработанной системы связи является тот факт, что обработка входящих данных и их отправка производится «на лету» в каждом из периферийных модулей (ведомых). В том числе «на лету» производится проверка и обновление контрольной суммы. Такой механизм осуществляется путем извлечения и подстановки собственной информации в единый поток данных. Таким образом, обеспечиваются минимально возможные задержки в каждом ведомом участнике сети (рисунок 8).
Рисунок 8 – Структура и кольцевая топология интерфейса связи 19

Интерфейс системы связи построен на базе стандартного битового потока за исключением некоторых сигналов и состоит из линий: Data – 8 линий, Clk (50МГц), и Sync_N (8 bit TTL 50МГц). Есть возможность настройки ширины сигнала Data. Интерфейс битового потока системы связи совместим с интерфейсами MII и GMII приемопередающих микросхем физического уровня Ethernet. В центральном модуле (ведущем) реализован контроллер связи в виде сложно-функционального блока (IP-core) процессорной периферии софт- процессора NIOSII. Данные передаются специализированным модулем прямого доступа к памяти (DMA), интегрированного в процессорную архитектуру центрального модуля. Обработка основных ошибок производится также на аппаратном уровне. Это исключает код обработки протокола из основного цикла алгоритма, сводя функции программной составляющей к инициализации, настройке системы и предоставлению информации по возникшим ошибкам. В таблице 2 представлены подтвержденные экспериментами результаты расчета времени синхронизации данных распределенной системы и популярных протоколов реального времени.
Таблица 1 – Время такта синхронизации протоколов промышленной автоматизации
PROFINET
IRT Powerlink Ethernet/IP EtherCAT
SERCOS- 8 bit III TTL
Минимальное время такта (мкс) Типичное время такта (мкс)
250 62,5 250 1000 300 2000
62,5 125
50МГц 31,25 0,56
125 3
Типичное время такта в таблице 2 представлено для набора из 6 ведомых устройств. Контроллеры связи участников сети построены по память- ориентированному подходу, поэтому периферийные модули системы, являющиеся ведомыми участниками сети, отображаются как область памяти в центральном модуле, являющимся ведущим связи.
Разработка кинематического вычислительного модуля (КВМ) в структуре распределенной системы управления основана на реализации алгоритма решения обратной задачи кинематики (ОЗК), рассмотренного в главе 2. В ходе исследований было реализовано четыре различных варианта, отличающихся между собой производительностью и количеством занимаемых логических элементов ПЛИС. В варианте No1 вычисление ОЗК только программными средствами процессора заняло 53516 тактов (рисунок 9, А) или 535,16 мкс, поскольку такт процессора составляет 10 нс. Вариант No2 отличается от первого добавлением библиотеки FPH2 (Floating Point Hardware 2), который соответствует стандарту IEEE 754-2008 и поддерживает аппаратное выполнение арифметических операций в формате одинарной точности. Применение FPH2 позволило сократить время расчета ОЗК до 449,16 мкс (рисунок 9, Б), но этот показатель является неудовлетворительным с учетом требуемого такта работы
системы. В варианте No3 использовалась одна из особенностей софт-процессора Nios II, которая заключается в возможности подключения собственных пользовательских инструкций к системе команд процессора. После добавления блоков, реализующих пользовательские инструкции вычисления тригонометрических функций, время расчета ОЗК составило 19,28 мкс (рисунок 9, В). Применение специализированного вычислителя (Вариант No4) позволило сократить время расчета до 6,1 мкс (рисунок 9, Г):
Рисунок 9 – Результаты исследования различных вариантов вычислителей для решения ОЗК
Специализированный вычислитель включает в себя два сложно- функциональных блока, подключенных к софт-процессору в роли подчиненных устройств. Первый блок производит расчет углов A и С, а в второй – обобщенных координат dX=d2*, dY=d3*, dZ=d4*. Структура и последовательность работы второго блока специализированного кинематического вычислителя показана на рисунке 10.
В качестве Варианта No5 рассмотрим случай переноса специализированного вычислителя на периферийный вычислительный модуль, связанный с центральным через коммуникационную систему, как показано на рисунке 4. Ко времени вычисления прибавляется время пересылки 24 байт данных вектора положения и ориентации инструмента и получения выходных данных обобщенных координат. Обмен 24 байтами информации составляет 0,8мкс. Таким образом, время вычисления с учетом обмена информацией составляет 6,9мкс, при этом процессоры в центральным модуле в это время свободны для загрузки другими задачами.
Проанализируем время расчета ОЗК при увеличении числа каналов управления, которое применяется в случае применения одной системы управления для нескольких технологических мехатронных объектов (рисунок 11). При использовании Варианта No3 и No4 увеличение числа каналов приведет к кратному повышению времени расчета ОЗК.
Рисунок 10 – Структура и последовательность работы второго блока специализированного кинематического вычислителя
При использовании Варианта No5 возможно добавление периферийных вычислительных модулей на шину интерфейса связи. В этом случае увеличение числа каналов управления приведет к повышению времени расчета на время пересылки данных через коммуникационную систему.
Рисунок 11 – Время расчета ОЗК при увеличении числа каналов управления 22

Из графика на рисунке 11 видно, что при увеличении числа каналов управления, наименьшее повышение времени расчета ОЗК обеспечивается применением периферийных вычислительных модулей (Вариант No5). Применение периферийных вычислительных модулей для распределения вычислений оправдано, когда объем задействованных логических ячеек ПЛИС при реализации специализированного вычислителя превышает ресурс микросхемы ПЛИС. Также применение оправдано в случае управления несколькими объектами со сложной кинематической структурой в составе гибкой производственной ячейки.
В четвертой главе изложены результаты практического применения разработанных в ходе исследования решений и алгоритмов. На рисунке 12 представлена реализация центрального модуля распределенной системы управления.
Рисунок 12 – Центральный модуль распределенной системы управления
Энергопотребление центрального модуля составляет не более 2Вт, что существенно меньше, чем у систем управления на базе ПК (250Вт и более). Это говорит о высокой энергоэффективности разработанной архитектуры.
После анализа возможного мехатронного оборудования были разработаны и произведены следующие типы опытных образцов периферийных модулей:
1. модуль дискретных входов/выходов 24В (16/16);
2. модуль аналоговых входов/выходов +10/-10В (6/6);
3. модуль дифференциальных входов/выходов (16 каналов). Периферийные модули распределенной системы управления представлены
на рисунке 13:
Рисунок 13 – Периферийные модули распределенной системы управления: дискретный (слева), аналоговый (посередине) и дифференциальный (справа)
На базе распределенной системы управления разработан алгоритм управления системой смены инструмента MR4CK компании Deta International в составе фрезерного станка КВС-В4 компании «КЭМЗ». Конструкция мехатронного модуля смены инструмента показана на рисунке 14:
Рисунок 14 – Конструкция мехатронного модуля смены инструмента MR4CK компании Deta International
Представлена технологическая программа на программно-реализованном ПЛК в составе ПО центрального модуля для алгоритма автоматической смены инструмента.
Разработан контроллер безопасности (КБ) и комплексная система обеспечения промышленной безопасности, функционирующая в составе разработанной распределенной системы управления электроавтоматикой (рисунок 15).
Рисунок 15 – Цепи безопасности и форма сигналов цепей безопасности
Разработанный контроллер безопасности реализован на дискретном периферийном модуле. Реализация алгоритма обеспечения безопасности в топологии микросхемы ПЛИС обеспечивает независимость от программной
составляющей распределенной системы управления, что существенно повышает надежность и быстродействие. Разработан алгоритм безопасной подачи высокого напряжения и включения сервопреобразователей и программа ПЛК для обеспечения безопасности в составе общей программы управления электроавтоматикой мехатронного технологического оборудования. Также разработана рекомендуемая схема подачи высокого напряжения на оборудование в составе распределенной системы управления.
В рамках проверки корректности алгоритма решения обратной задачи кинематики проведены натурные испытания пятикоординатной обработки при изготовлении детали, представленной на рисунке 16, на пятикоординатном обрабатывающем центре с глобусным поворотным столом S500 (Стерлитамакский станкостроительный завод, Группа «СТАН»).
а) б)
Рисунок 16 – ЗD-модель (а) и изготовленная деталь (б) после пятикоординатной обработки
Мехобработка происходила в несколько этапов с применением нескольких инструментов. Стратегия обработки была разработана в среде Gemma-3D.
Соответствие детали чертежу говорит о корректности теоретических исследований и практической реализации. Сформирован протокол измерения изготовленной детали.
ОСНОВНЫЕ ВЫВОДЫ И РЕЗУЛЬТАТЫ
1. В диссертации дано новое научно обоснованное решение актуальной задачи расширения функциональных возможностей и улучшения динамических свойств технологических мехатронных и робототехнических комплексов в результате применения новой структуры и алгоритмов цифровых аппаратно- программных устройств управления, обладающих высокой вычислительной производительностью и построенных на основе память-ориентированного подхода, софт-процессоров, новых коммуникационных решений и
25

распределения вычислений. Такое решение имеет важное значение для экономики страны.
2. Установлена взаимосвязь между использованием различных аппаратных платформ и тесно связанной с ними структурой программного обеспечения для реализации задач управления мехатронными системами с длительностью цикла управления, а также сформулированы требования по быстродействию и времени отклика системы управления на внешние события. Согласно установленным требованиям длительность цикла управления, равная периоду квантования в контуре регулирования положения, составляет 200 мкс, а время для решения обратной задачи кинематики и вычисления значения управляющих воздействий на приводы в результате интерполяции не должно превышать 48 мкс.
3. Разработан алгоритм решения обратной задачи кинематики для мехатронной системы в виде пятикоординатного обрабатывающего центра с глобусным поворотным столом. Время решения задачи на ПК с операционной системой реального времени нестабильно и зачастую составляет несколько сотен микросекунд, что значительно превышает установленные требования и может привести к потери устойчивости управления.
4. На основе выявленных взаимосвязей разработана структурная модель системы управления, в основе которой лежит применение технологии софт- процессоров, созданных с помощью логического синтеза в топологии ПЛИС, а также применение память-ориентированного подхода к построению, сокращающий время взаимодействия модулей в составе системы и повышающий производительность вычислений за счет их распараллеливания.
5. В соответствии с память-ориентированным подходом разработана и реализована программно-аппаратная двухъядерная софт-процессорная архитектура центрального модуля, а также варианты периферийных модулей системы управления, отличающиеся наличием софт-процессора, в составе распределенной системы управления мехатронными комплексами.
6. Результаты исследования аппаратной архитектуры центрального модуля показали, что энергоэффективность разработанного решения существенно превышает энергоэффективность решений на базе персонального компьютера (менее 2Вт против 250Вт и более для ПК), что имеет важное значение для применения встраиваемых систем, где затруднителен отвод тепловой энергии.
7. Для реализации принципа распределенных вычислений разработан отличающийся высоким быстродействием аппаратный контроллер коммуникационного протокола и интерфейса связи модулей системы с топологией «кольцо», который в соответствии с память-ориентированным подходом представляет периферийные модули как области памяти в центральном модуле системы управления.
8. Результаты расчетов времени синхронизации информации между модулями распределенной системы, подтвержденные экспериментальными исследованиями, говорят о значительно меньшем периоде обмена данными по сравнению с популярными протоколами промышленной автоматизации
реального времени (3 мкс против 125 мкс для варианта из 6 ведомых устройств и 0,56 мкс против 31,5 мкс для минимального набора ведомых устройств).
9. Разработаны и протестированы несколько вариантов исполнения алгоритма решения ОЗК для модели пятикоординатного обрабатывающего центра, результаты экспериментальных исследований которых показали, что применение библиотеки FPH2 и пользовательских аппаратных инструкций (Вариант No3), а также специализированного аппаратного вычислителя (Вариант No4) позволило сократить время расчета с 535,16 мкс до 19,28 мкс и 6,1 мкс (в 27 и 87 раз) соответственно.
10. В соответствии с принципом распределенных вычислений, в случае применения специализированного аппаратного вычислителя на периферийном модуле высвобождается процессорное время в центральном вычислительном модуле и ресурс микросхемы ПЛИС, что позволяет эффективно решать вычислительные задачи с требуемым тактом работы системы при увеличении количества каналов при управлении сложными технологическими мехатронными комплексами, такими как гибкие производственные ячейки.
11. В качестве прикладных решений распределенной системы управления были разработаны и внедрены система автоматической смены инструмента фрезерного станка, система безопасности оборудования и защиты оператора как элемент общей электроавтоматики технологических мехатронных систем, а также в результате пятикоординатной механической обработки была изготовлена деталь, соответствующая чертежу с заданными точностными параметрами, что говорит о корректности разработанных алгоритмов решения ОЗК.
12. Результаты диссертационного исследования были использованы для реализации государственного контракта No 14411.169999.05.001 от 22 декабря 2014 г. Шифр «Микроэлектроника металлообработки» , а также для реализации государственного задания No075-03-2020-079/2 (NoFSFS-2020-0031) от 13.03.2020 «Развитие теоретических основ проектирования когнитивных принципов управления коллаборативными робототехническими комплексами с использованием глубинного обучения и машинного зрения», создано 5 объектов интеллектуальной собственности в виде свидетельств о государственной регистрации программ для ЭВМ. Результаты работы были внедрены в систему управления станками серии КВС-В4 («КЭМЗ», г. Ковров), СА535 («САСТА», г. Сасово) и S500 (Стерлитамакский станкостроительный завод, Группа «СТАН»), предложенные подходы и решения показали свою перспективность.

Актуальность темы исследования. Российские машиностроительные предприятия в настоящее время остро нуждаются в техническом перевооружении. Высокоэффективное и экономичное оборудование должно прийти на смену физически изношенному и морально устаревшему [1]. На пути технического перевооружения на предприятиях появляются новые интеллектуальные мехатронные машины, такие как сложные станки и робототехнические комплексы, обладающие качественно новыми функциями и свойствами. Совершенствование машин и инструментов, а также появление новых технологий обработки формируют основы для технологического прорыва в сфере создания новых образцов промышленного оборудования для стратегических отраслей. В связи с этим растут скорость и точность обработки деталей, что в свою очередь повышает требования к системам управления мехатронным технологическим оборудованием. Растут требования как к системам непосредственного формообразования, так и к обслуживанию вспомогательных и защитных систем станочного и робототехнического оборудования [2], а также автоматизированных линий.
Решением задач контурного управления (геометрическая задача) и обслуживания вспомогательных систем (логическая задача) занимаются системы управления, в том числе системы числового программного управления (ЧПУ). Современная система управления представляет собой сложный программно- аппаратный комплекс на базе цифровых вычислительных устройств, решающий совокупность задач управления движением роботов и станков со сложной кинематической структурой. Таким образом, развитие отечественных систем управления сложными мехатронными комплексами и импортозамещение систем управления на российских предприятиях имеют важное значение, поскольку являются основным фактором в обеспечении технологической независимости государства.
В процессе выполнения циклических задач система управления производит ряд вычислений, ограниченных во времени исполнения. Превышение времени вычисления недопустимо и может привести к потере устойчивости управления и аварии. Производительность вычислений в свою очередь напрямую зависит от аппаратной и программной архитектуры электронно-вычислительного ядра системы управления.
Технологические мехатронные системы представляют собой совокупность механических агрегатов, осуществляющих технологическую операцию, и электрических и электронных компонентов системы управления. Многокоординатные обрабатывающие центры и промышленные роботы, а также их технологические связки, с течением времени являются все более востребованными производственными мехатронными комплексами и приходят на смену простому технологическому оборудованию. Поскольку многокоординатные обрабатывающие центры и промышленные роботы имеют сложную кинематическую структуру, для управления этими объектами необходимо производить расчет обратной задачи кинематики, что накладывает на систему управления объектами требования для обеспечения достаточной вычислительной мощности.
Таким образом, повышение эффективности решения задач управления в реальном времени технологическими мехатронными и робототехническими системами и обеспечение требуемой вычислительной мощности за счет архитектурных решений цифровых вычислительных устройств является актуальной задачей исследования.
Степень разработанности темы исследования. Мехатроника, как направление в науке и технике, в настоящее время интенсивно развивается. Важными работами в области мехатроники, в которых изложены подходы и принципы построения мехатронных систем, являются [3, 4, 5, 6, 31]. Решения, принимаемые при создании современных мехатронных систем, в значительной степени опираются на результаты глубоких исследований в области теории автоматического управления (ТАУ). Среди фундаментальных работ по ТАУ следует отметить [7, 8, 9, 13].
Разработанные В.В. Солодовниковым [10-12] частотные методы анализа, синтеза и проектирования автоматических систем широко применялись на практике и были обобщены на дискретные системы управления. Огромный вклад в теорию автоматического управления и регулирования, кибернетику, а также в развитие систем управления технологическим оборудованием, станками и роботами, в том числе, на базе микропроцессорных устройств внесли В.А. Бесекерский [13, 14] и Е.П. Попов [13, 15]. Вопросы теории и практики создания цифровых систем управления движением, которые применяются в современных технологических мехатронных комплексах, достаточно глубоко рассмотрены в исследованиях [16, 17, 18, 19].
Изучению и разработке компьютерных систем управления движением многокоординатных технологических систем (станков и роботов) посвящены работы [4, 20, 21, 22, 23]. Построению аппаратных систем числового программного управления технологическим промышленным оборудованием посвящены исследования и труды В.Л. Кошкина [24, 25]. В работах Сук-Хван Су (Suk-Hwan Su) [26] и В.Л. Сосонкина [21, 22, 23, 27, 28] представлен теоретический базис синтеза систем числового программного управления на основе операционных систем и разработки средств автоматизации технологических процессов. Также труды описывают варианты архитектуры систем управления движением и электроавтоматикой оборудования и решения в области коммуникации составных частей этих систем. В качестве примеров были представлены достижения основных участников рынка автоматизации. Стоит также отметить труды В.С. Бурцева [29, 30] за огромный вклад в развитие высокопроизводительных систем и многопроцессорных вычислительных комплексов, оказавших влияние на многие области науки и техники. В работах Ю.В. Подураева [5, 6, 31, 32] и Ю.В. Илюхина [32, 33] даны базовые понятия и предметная область мехатроники, методы построения и анализа мехатронных систем. Также изложены алгоритмы реализации цифровых регуляторов и вопросы цифрового управления многокоординатными технологическими объектами, характерными для робототехники и станкостроения.
Вместе с тем, пока недостаточно исследовано влияние структур и аппаратных средств цифровых устройств управления мехатронными системами на вычислительную производительность таких устройств и, в конечном счёте, на уровень динамических свойств мехатронных систем. Не в полной мере изучены вопросы построения устройств управления мехатронными системами на основе новых аппаратно-программных подходов, позволяющих сократить длительность цикла управления за счёт применения софт-процессорных элементов и эффективного распределения вычислений между аппаратно реализованными компонентами устройства управления. Поэтому представляется актуальной тема исследования, направленная на разработку и исследование свойств новых структур систем управления многокоординатными обрабатывающими центрами и промышленными роботами.
Объектом исследования является система управления технологических мехатронных и робототехнических комплексов.
Предметом исследования является структура и компоненты систем управления технологическими мехатронными и робототехническими комплексами, обеспечивающие сокращение длительности цикла цифрового управления для улучшения динамических свойств комплексов и, в первую очередь, повышение производительности и точности реализуемых ими движений.
Цель исследования – расширение функциональных возможностей и улучшение динамических свойств технологических мехатронных и робототехнических комплексов в результате построения новой структуры системы управления с высокопроизводительными вычислительными устройствами управления, построенными в соответствии с память-ориентированным подходом и использующими софт-процессорные компоненты и распределение вычислений. Задачи исследования. Для достижения поставленной цели исследования необходимо решить следующие научные задачи:
1. Выполнить анализ задач, методов и средств управления автоматизированным промышленным оборудованием, мехатронными и робототехническими комплексами, а также архитектурные решения и структуры систем управления технологическими мехатронными комплексами;
2. Определить требования к длительности цикла управления мехатронными и робототехническими системами и проанализировать влияние, которое оказывает на неё структура цифровых вычислительных устройств;
3. Разработать структуру программно-аппаратного ядра цифрового вычислительного устройства системы управления технологическими мехатронными комплексами на базе принципа распределенных вычислений и сделать оценку ее функциональной структуры;
4. Разработать алгоритм решения обратной задачи кинематики для управления технологической мехатронной системой в виде пятикоординатного обрабатывающего центра с глобусным поворотным столом;
5. Разработать модульную архитектуру высокопроизводительной распределенной системы управления мехатронными комплексами и интерфейс взаимодействия модулей на основе память-ориентированного подхода;
6. Разработать специализированный высокопроизводительный вычислительный модуль решения обратной задачи кинематики для пятикоординатного обрабатывающего центра с глобусным поворотным столом;
7. Разработать прикладные решения на базе модульной архитектуры распределенной системы управления технологическими мехатронными системами, включая модули ввода/вывода для управления электроавтоматикой и контроллер безопасности для комплексной системы обеспечения промышленной безопасности и защиты оператора пятикоординатного обрабатывающего центра.
Научной новизной обладают:
1. Математическая модель кинематики многокоординатной мехатронной системы в виде вертикально-фрезерного пятикоординатного обрабатывающего центра с глобусным поворотным столом, включающая решения прямой и обратной задач о положении режущей части инструмента относительно обрабатываемой детали и ориентированная на использование быстродействующих программно-аппаратных вычислителей;
2. Структура распределённой системы управления технологических мехатронных и робототехнических комплексов с высокопроизводительным программно-аппаратным вычислителем, формируемым в соответствии с память- ориентированным подходом и принципом распределенных вычислений, обладающим двухъядерной софт-процессорной архитектурой и объединяющим центральный и внешние периферийные модули сетью передачи данных с топологией «кольцо»;
3. Математические зависимости, лежащие в основе построения быстродействующего программно-аппаратного вычислительного модуля на базе софт-процессоров в топологии ПЛИС, решающего обратную задачу кинематики для управления мехатронной системой пятикоординатного обрабатывающего центра с глобусным поворотным столом.
4. Метод повышения частоты синхронизации данных между модулями системы управления, основанный на применении контроллера кольцевого интерфейса и нового протокола связи, реализующих память-ориентированный подход к построению систем управления мехатронными объектами.
Теоретическая значимость диссертационной работы заключается в новой научно обоснованной структуре, математическом описании и алгоритмах системы управления технологических мехатронных и робототехнических комплексов, формируемых на основе высокопроизводительных программно-аппаратных вычислителей, построенных на основе память-ориентированного подхода. Предложенное научно-техническое решение отличается наличием в процессорной архитектуре устройства управления программно-аппаратных вычислительных и коммуникационных компонентов, интегрированных на основе память- ориентированного подхода и обеспечивающих высокую стабильность и быстродействие управляющих алгоритмов благодаря использованию софт- процессорных компонентов и распределению вычислений.
Важное значение для науки в области мехатроники и робототехники имеют математические модели и алгоритм решения обратной задачи кинематики для управления мехатронной системой пятикоординатного обрабатывающего центра с глобусным поворотным столом.
Практическая значимость:
1. Разработана программно-аппаратная процессорная архитектура для управления технологическими мехатронными и робототехническими системами, обладающими высокими динамическими характеристиками;
2. Разработан высокопроизводительный контроллер кольцевого интерфейса и протокола связи в составе программно-аппаратной архитектуры центрального и периферийных модулей, реализующий память-ориентированный подход и позволяющий разрабатывать гамму периферийных модулей для реализации распределенных вычислений и решения поставленных задач;
3. Разработан быстродействующий вычислитель в составе программно- аппаратной архитектуры, предназначенный для решения обратной задачи кинематики при управлении пятикоординатным обрабатывающим центром с глобусным поворотным столом, что дает возможность развивать предложенные методы для управления технологическими мехатронными системами со схожей кинематической структурой, при условии наличия аналитического решения;
4. Разработан алгоритм и внедрена система безопасности оборудования и защиты оператора, реализованная на основе центрального и периферийных модулей распределенной системы управления, как элемент общей электроавтоматики технологических мехатронных комплексов.
5. Разработан программируемый контролер управления электроавтоматикой на основе новой архитектуры центрального и периферийных модулей и внедрен для управления автоматической сменой инструмента и другими вспомогательными системами нескольких видов технологического оборудования. Методы исследования. При решении поставленных задач в работе использованы методы построения и анализа мехатронных систем, аналитической геометрии и матричного исчисления, концепция объектно-ориентированного программирования (абстракция). Исследование системы управления выполнялось методами имитационного моделирования на ПК и натурных экспериментов на лабораторном и производственном оборудовании.
Положения, выносимые на защиту:
1. Математическая модель кинематики, решения прямой и обратной задач о положении инструмента относительно обрабатываемой детали многокоординатной мехатронной технологической системы в виде вертикально- фрезерного пятикоординатного обрабатывающего центра с глобусным поворотным столом;
2. Структура обладающей гибким вычислительным параллелизмом распределённой системы управления технологических мехатронных и робототехнических комплексов с высокопроизводительным программно- аппаратным вычислителем, формируемым в соответствии с память- ориентированным подходом и принципом распределенных вычислений;
3. Математические зависимости, лежащие в основе построения быстродействующего вычислительного модуля на базе софт-процессоров в топологии ПЛИС, решающего обратную задачу кинематики для управления мехатронной системой пятикоординатного обрабатывающего центра с глобусным поворотным столом;
4. Метод повышения частоты синхронизации данных между модулями системы управления за счет применения в составе программно-аппаратной архитектуры центрального и периферийных модулей контроллера кольцевого интерфейса и нового протокола связи, реализующих память-ориентированный подход к построению систем управления мехатронными объектами.
Достоверность полученных результатов подтверждается использованием программных продуктов для моделирования и обработки результатов эксперимента, согласованностью результатов компьютерного моделирования и экспериментальных исследований, а также результатами тестирования алгоритмов и моделей в системе управления на нескольких видах станочного оборудования.
Апробация работы. Теоретические и практические результаты, полученные автором, докладывались на заседаниях «Международной инновационной конференции молодых учёных и студентов по современным проблемам машиноведения» (МИКМУС-2017) в секции «Механика машин и управление машинами, робототехника», на XII международной конференции «Машиноведение: традиции и инновации» (МТИ-2019), на 12-й «Мультиконференции по проблемам управления» (МКПУ-2019) в секции «Робототехника и мехатроника», а также на XVI Всероссийской научно- практической конференции «Перспективные системы и задачи управления» (ВНПК ПСиЗУ 2021).
Результаты работы были использованы при реализации государственного контракта No 14411.169999.05.001 от 22 декабря 2014 г. «Масштабное импортозамещение технологий металлообработки за счет разработки отечественной микроэлектронной и программно-аппаратной системы числового программного управления станком совместно со специализированным управляющим программным обеспечением мирового уровня» Шифр «Микроэлектроника металлообработки», а также для реализации государственного задания No075-03-2020-079/2 (NoFSFS-2020-0031) от 13.03.2020 «Развитие теоретических основ проектирования когнитивных принципов управления коллаборативными робототехническими комплексами с использованием глубинного обучения и машинного зрения».
На основе результатов работы создано 5 объектов интеллектуальной собственности в виде свидетельств о государственной регистрации программ для ЭВМ. Результаты работы были опробованы и внедрены в систему управления станками серии КВС-В4 («КЭМЗ», г. Ковров), СА535 («САСТА», г. Сасово) и S500 (Стерлитамакский станкостроительный завод, Группа «СТАН»).
Соответствие диссертации паспорту специальности. В диссертации решены задачи синтеза структуры мехатроных систем, проектирования управляющих компонентов, входящих в состав робототехнических и мехатронных систем, и повышения эффективности таких систем. Поэтому диссертация соответствует п. 1, 5 и 7 областей исследования в паспорте научной специальности 05.02.05 – Роботы, мехатроника и робототехнические системы (технические системы).
Публикации. По теме диссертации опубликовано 15 научных статей (из них 6 в журналах, входящих в перечень ведущих рецензируемых научных журналов и изданий, рекомендованных ВАК, и 3 в журналах, индексируемых WebOfScience и Scopus).
Структура и объем диссертационной работы. Работа состоит из введения, четырех глав, заключения, списка литературы из 139 наименований и 7-ми приложений. Работа изложена на 203 страницах машинописного текста, содержит 81 рисунок, 7 таблиц.

Заказать новую

Лучшие эксперты сервиса ждут твоего задания

от 5 000 ₽

Не подошла эта работа?
Закажи новую работу, сделанную по твоим требованиям

    Нажимая на кнопку, я соглашаюсь на обработку персональных данных и с правилами пользования Платформой

    Читать

    Читать «Высокопроизводительная система управления многокоординатными технологическими мехатронными объектами на основе память-ориентированного подхода и распределения вычислений»

    Публикации автора в научных журналах

    FPGA-Based High-Performance Digital Control System for Multicoordinate Machine Tools and Industrial Robots.
    A.A. Zelenskii, T.K. Abdullin, Y.V. Ilyukhin, M.A. Khar’kov // Russian Engineering Research. – Vol.40, No.– 2– pp. 97–© Allerton Press, Inc., 2Zelenskii A.A. Fast Kinematic Calculations for Industrial Robots. / A.A. Zelenskii, M.M. Stebulyanin, T.K. Abdullin, M.A. Khar’kov // Russian Engineering Research. – Vol.40, No.– 2– pp. 58–© Allerton Press, Inc., 2
    Memory-centric approach and human-like technologies in the design of automated technological complexes for advanced manufacturing.
    A.A. Zelensky, M.A. Kharkov, T.K. Abdullin // Proc. SPIE 11540, Emerging Imaging and Sensing Technologies for Security and Defence V; and Advanced Manufacturing Technologies for Micro- and Nanosystems in Security and Defence III, 1154015 (20 September 2020).
    Высокопроизводительная распределенная система управления промышленными роботами, станками и автоматизированными комплексами.
    XXIX Международная конференция «Машиноведение и инновации. Конференция молодых учёных и студентов» (МИКМУС - 2017): материалы конференции (Москва, 6-8 декабря 2017 года). – М: Изд-во ИМАШ РАН, – 2– C. 345–28
    Создание высокопроизводительных систем управления многокоординатных технологических машин.
    М.А. Харьков, Т.Х. Абдуллин // Материалы XII международной конференции «Машиноведение: традиции и инновации (МТИ - 2019)». Сборник докладов. – М: ФГБОУ ВО «МГТУ «СТАНКИН», – 2– C. 167
    Распределенная система управления электроавтоматикой станков, промышленных роботов и автоматизированных комплексов на основе высокопроизводительного интерфейса связи.
    М.А. Харьков, С.П. Ивановский, А.А. Зеленский, Т.Х. Абдуллин // Вестник МГТУ "Станкин". – 2– No1 (44). – C. 91–27 Зеленский, А.А. Высокопроизводительная система числового программного управления на базе программируемых логических интегральных схем. / А.А. Зеленский, М.А. Харьков, С.П. Ивановский, Т.Х. Абдуллин // Вестник Воронежского государственного технического университета. – 2– Том 14 No– C. 8

    Помогаем с подготовкой сопроводительных документов

    Совместно разработаем индивидуальный план и выберем тему работы Подробнее
    Помощь в подготовке к кандидатскому экзамену и допуске к нему Подробнее
    Поможем в написании научных статей для публикации в журналах ВАК Подробнее
    Структурируем работу и напишем автореферат Подробнее

    Хочешь уникальную работу?

    Больше 3 000 экспертов уже готовы начать работу над твоим проектом!

    Ксения М. Курганский Государственный Университет 2009, Юридический...
    4.8 (105 отзывов)
    Работаю только по книгам, учебникам, статьям и диссертациям. Никогда не использую технические способы поднятия оригинальности. Только авторские работы. Стараюсь учитыв... Читать все
    Работаю только по книгам, учебникам, статьям и диссертациям. Никогда не использую технические способы поднятия оригинальности. Только авторские работы. Стараюсь учитывать все требования и пожелания.
    #Кандидатские #Магистерские
    213 Выполненных работ
    Дмитрий Л. КНЭУ 2015, Экономики и управления, выпускник
    4.8 (2878 отзывов)
    Занимаю 1 место в рейтинге исполнителей по категориям работ "Научные статьи" и "Эссе". Пишу дипломные работы и магистерские диссертации.
    Занимаю 1 место в рейтинге исполнителей по категориям работ "Научные статьи" и "Эссе". Пишу дипломные работы и магистерские диссертации.
    #Кандидатские #Магистерские
    5125 Выполненных работ
    Юлия К. ЮУрГУ (НИУ), г. Челябинск 2017, Институт естественных и т...
    5 (49 отзывов)
    Образование: ЮУрГУ (НИУ), Лингвистический центр, 2016 г. - диплом переводчика с английского языка (дополнительное образование); ЮУрГУ (НИУ), г. Челябинск, 2017 г. - ин... Читать все
    Образование: ЮУрГУ (НИУ), Лингвистический центр, 2016 г. - диплом переводчика с английского языка (дополнительное образование); ЮУрГУ (НИУ), г. Челябинск, 2017 г. - институт естественных и точных наук, защита диплома бакалавра по направлению элементоорганической химии; СПХФУ (СПХФА), 2020 г. - кафедра химической технологии, регулирование обращения лекарственных средств на фармацевтическом рынке, защита магистерской диссертации. При выполнении заказов на связи, отвечаю на все вопросы. Индивидуальный подход к каждому. Напишите - и мы договоримся!
    #Кандидатские #Магистерские
    55 Выполненных работ
    Анна В. Инжэкон, студент, кандидат наук
    5 (21 отзыв)
    Выполняю работы по экономическим дисциплинам. Маркетинг, менеджмент, управление персоналом. управление проектами. Есть опыт написания магистерских и кандидатских диссе... Читать все
    Выполняю работы по экономическим дисциплинам. Маркетинг, менеджмент, управление персоналом. управление проектами. Есть опыт написания магистерских и кандидатских диссертаций. Работала в маркетинге. Практикующий бизнес-консультант.
    #Кандидатские #Магистерские
    31 Выполненная работа
    Ольга Б. кандидат наук, доцент
    4.8 (373 отзыва)
    Работаю на сайте четвертый год. Действующий преподаватель вуза. Основные направления: микробиология, биология и медицина. Написано несколько кандидатских, магистерских... Читать все
    Работаю на сайте четвертый год. Действующий преподаватель вуза. Основные направления: микробиология, биология и медицина. Написано несколько кандидатских, магистерских диссертаций, дипломных и курсовых работ. Слежу за новинками в медицине.
    #Кандидатские #Магистерские
    566 Выполненных работ
    Екатерина Б. кандидат наук, доцент
    5 (174 отзыва)
    После окончания института работала экономистом в системе государственных финансов. С 1988 года на преподавательской работе. Защитила кандидатскую диссертацию. Преподав... Читать все
    После окончания института работала экономистом в системе государственных финансов. С 1988 года на преподавательской работе. Защитила кандидатскую диссертацию. Преподавала учебные дисциплины: Бюджетная система Украины, Статистика.
    #Кандидатские #Магистерские
    300 Выполненных работ
    Кормчий В.
    4.3 (248 отзывов)
    Специализация: диссертации; дипломные и курсовые работы; научные статьи.
    Специализация: диссертации; дипломные и курсовые работы; научные статьи.
    #Кандидатские #Магистерские
    335 Выполненных работ
    Сергей Н.
    4.8 (40 отзывов)
    Практический стаж работы в финансово - банковской сфере составил более 30 лет. За последние 13 лет, мной написано 7 диссертаций и более 450 дипломных работ и научных с... Читать все
    Практический стаж работы в финансово - банковской сфере составил более 30 лет. За последние 13 лет, мной написано 7 диссертаций и более 450 дипломных работ и научных статей в области экономики.
    #Кандидатские #Магистерские
    56 Выполненных работ
    Родион М. БГУ, выпускник
    4.6 (71 отзыв)
    Высшее экономическое образование. Мои клиенты успешно защищают дипломы и диссертации в МГУ, ВШЭ, РАНХиГС, а также других топовых университетах России.
    Высшее экономическое образование. Мои клиенты успешно защищают дипломы и диссертации в МГУ, ВШЭ, РАНХиГС, а также других топовых университетах России.
    #Кандидатские #Магистерские
    108 Выполненных работ

    Последние выполненные заказы