Формирователи спектрально-эффективных радиосигналов с компенсацией амплитудно-фазовых искажений

Бесплатно
Работа доступна по лицензии Creative Commons:«Attribution» 4.0
Печников Сергей Сергеевич
Бесплатно
Работа доступна по лицензии Creative Commons:«Attribution» 4.0

ВВЕДЕНИЕ………………………………………………………………… 5
1. НЕЛИНЕЙНЫЕ ИСКАЖЕНИЯ СИГНАЛОВ В УСИЛИТЕЛЯХ
МОЩНОСТИ, КРИТЕРИИ ИХ ОЦЕНКИ И МЕТОДЫ
УМЕНЬШЕНИЯ…………………………………………………………… 15
1.1. Анализ влияния нелинейных искажений, вносимых усилителем
мощности…………………………………………………………………… 16
1.2. Анализ параметров оценки спектральной эффективности
сигналов……………………………………………………………………. 21
1.3. Стандарты цифровой радиосвязи органов внутренних дел,
использующие спектрально-эффективные радиосигналы …………….. 27
1.4. Исследование способов дефазирования сигналов……………………… 34
1.4.1. Способ линейного усиления с применением нелинейных компонент… 34
1.4.2 Способ дефазирования с применением комбинированной аналоговой
обратной связи …………………………………………………………….. 37
1.4.3. Способ дефазирования с применением векторной обратной связи..….. 41
Выводы по главе 1……………………………………………………….. 44
2. РАЗРАБОТКА СТРУКТУРНЫХ СХЕМ ФОРМИРОВАТЕЛЕЙ
УЗКОПОЛОСНЫХ СПЕКТРАЛЬНО-ЭФФЕКТИВНЫХ
РАДИОСИГНАЛОВ ДЛЯ СИСТЕМ СВЯЗИ СПЕЦИАЛЬНОГО
НАЗНАЧЕНИЯ…….……….……………………………………………………………. 46
2.1. Исследование структурных схем устройств формирования
функциональных составляющих………………………………………… 47
2.1.1. Анализ точности формирования функциональных составляющих…… 49
2.1.2. Спектральный анализ устройств формирования функциональных
составляющих……………………………………………………………… 55
2.2. Разработка устройств формирования узкополосных спектрально-
эффективных радиосигналов…………………………………………….. 57
2.2.1. Фазовые модуляторы на базе формирователя функциональных
составляющих и балансных модуляторов…………………..…………… 57
2.2.2. Спектральный анализ фазового модулятора на базе формирователя
функциональных составляющих и балансных модуляторов……………. 59
2.2.3. Формирователи узкополосных спектрально-эффективных
радиосигналов на базе балансных модуляторов в квадратурных ветвях
……………………………………………………………………… 61
2.2.4. Формирователи узкополосных спектрально-эффективных
радиосигналов на базе векторных модуляторов в квадратурных
ветвях………………………………………………………………………. 67
2.3. Применение квадратурного демодулятора для демодуляции
узкополосных спектрально-эффективных радиосигналов …….………. 68
Выводы по главе 2………………………………………………………… 71
3. ИССЛЕДОВАНИЕ ТЕОРЕТИЧЕСКИХ ХАРАКТЕРИСТИК
ФОРМИРОВАТЕЛЕЙ УЗКОПОЛОСНЫХ СПЕКТРАЛЬНО-
ЭФФЕКТИВНЫХ РАДИОСИГНАЛОВ С КОМПЕНСАЦИЕЙ
АМПЛИТУДНО-ФАЗОВЫХ ИСКАЖЕНИЙ И АНАЛИЗ ИХ
ПРИМЕНЕНИЯ ДЛЯ ЛИНЕЙНОГО УСИЛЕНИЯ СИГНАЛА В
НЕЛИНЕЙНЫХ УСИЛИТЕЛЯХ МОЩНОСТИ……………………..… 73
3.1. Исследование влияния ошибки квадратурного модулятора на
выходной сигнал…………………………………………………………… 73
3.1.1. Анализ параметрической чувствительности формирователей
узкополосных спектрально-эффективных радиосигналов …………… 75
3.1.2. Анализ влияния дисбаланса фазы при осуществлении фазового сдвига
π/2 на форму выходного сигнального созвездия………………….. 80
3.1.3. Компенсация амплитудно-фазовой нестабильности в квадратурном
модуляторе радиосигналов……………………………………………….. 81
3.1.4. Исследование параметрической чувствительности формирователей
узкополосных спектрально-эффективных сигналов и компенсации
амплитудно-фазовой нестабильности в квадратурном
формирователе…………………………………………………………………………………. 86
3.2. Исследование влияния нелинейности усилителей и дисбаланса трактов
усиления на выходной сигнал…………………………………… 94
3.2.1. Применение квадратурной обратной связи для устранения ошибок,
вносимых в результате нелинейного усиления и несоответствия
трактов усиления………………………………………………………….. 97
3.2.2. Анализ точности реализации квадратурной обратной связи…………… 99
Выводы по главе 3…………..…………………………………………..… 102
4. МОДЕЛИРОВАНИЕ И ИССЛЕДОВАНИЕ ФОРМИРОВАТЕЛЕЙ
УЗКОПОЛОСНЫХ СПЕКТРАЛЬНО-ЭФФЕКТИВНЫХ
РАДИОСИГНАЛОВ И ИХ ПРИМЕНЕНИЯ ДЛЯ ЛИНЕЙНОГО
УСИЛЕНИЯ СИГНАЛА В НЕЛИНЕЙНЫХ УСИЛИТЕЛЯХ
МОЩНОСТИ ……………………………………………………………… 103
4.1. Техническая реализация и экспериментальное исследование 103
формирователя функциональных составляющих, при индексе
модуляции mφ=π/4…………………………………………………………
4.2. Оценка и выбор элементной базы для осуществления моделирования
формирователей узкополосных спектрально-эффективных сигналов и
их применения для линейного усиления сигнала в нелинейных 110
усилителях мощности……………………………………………………..
4.3. Анализ временных и спектральных параметров радиосигналов,
синтезируемых формирователем узкополосных спектрально-
эффективных радиосигналов при их применении для линейного
усиления в нелинейных усилителях мощности ………………………… 116
4.4 Оценка энергетической эффективности радиосигналов,
синтезируемых формирователем узкополосных спектрально-
эффективных сигналов при их применении для линейного усиления в
нелинейных усилителях мощности…….…………………………..….… 126
Выводы по главе 4………………………………..……………………….. 128
ЗАКЛЮЧЕНИЕ……………………………………………………………. 129
Список литературы…………………………………………………….…. 130
Приложение А……………………………………..……………………… 144
Приложение Б…………………………………………………………….. 149
Приложение В……………………………………..……………………… 150
Приложение Г…………………………………………………………….. 155

Во введении обоснована актуальность темы диссертации, сформулированы цель
работы и задачи исследования, показана научная новизна и практическая значимость
полученных результатов, выносимых на защиту.
В первой главе проведен анализ влияния нелинейных искажений, вносимых
усилителем мощности, на увеличение внеполосного излучения в соседнем канале,
рассмотрены параметры, количественно определяющие линейность усилителя
мощности. Рассмотрены основные критерии оценки спектральной и энергетической
эффективности радиосигналов: отношение пиковой мощности к средней (PAPR),
интегральная функция распределения уровней сигнала (CCDF), коэффициент
мощности в соседнем (ACPR) и альтернативном канале (AltCPR), модуль вектора
ошибки (EVM) и вероятность битовой ошибки (BER). Показано, что сигналы с
амплитудно-фазовой модуляцией обладают высокой спектральной эффективностью, в
связи с чем в сетях связи специального назначения получают широкое
распространение цифровые стандарты радиосвязи АРСО25 и TETRA, применяющие
сигналы CQPSK и π/4-DQPSK соответственно. В то же время сигналам с цифровой
многопозиционной модуляцией свойственно изменение комплексной огибающей, что
в свою очередь влечет изменение коэффициента усиления и амплитудно-фазовую
конверсию при проявлении нелинейности усиления. Далее в работе проводится обзор
основных методов линеаризации передающего тракта и анализ методов дефазирования
сигналов, основная идея которых заключается в преобразовании амплитудно-
фазомодулированного сигнала S(t) в виде суммы двух фазомодулированных сигналов
с постоянной огибающей S1(t) и S2(t),
S (t )  A(t) cos ct + (t)  S1(t)+ S2(t) ,(1)
S1 (t )  Aмах (t) cos ct + (t)+  (t) ,(2)
S2 (t )  Aмах (t) cos ct + (t) –  (t) .(3)
Векторное представление сигналов изображено на рисунке 1.
Общим недостатком для методов,
основанных на дефазировании сигнала,
стала чувствительность к диспропорциям
усиления и фазы в двух параллельных
усилительных трактах.
Схемные решения, являющиеся
дальнейшим развитием данного подхода к
усилению, направлены на компенсацию
амплитудной и фазовой асимметрии плеч
Рисунок 1 – Векторное представление
сигнала при дефазированиисхемы, при этом наличие управляемых
реактивных элементов и частотно-
избирательных цепей в тракте управления ГУН не позволяет с их помощью
осуществлять фазовую модуляцию без перестройки схемы в широком диапазоне
частот несущего колебания, а рассинхронизация работы ГУН приводит к
недостаточной линейности огибающей и увеличению ширины спектра выходного
сигнала.
Во второй главе исследованы структурные схемы устройств формирования
функциональных составляющих, являющихся результатом аппроксимаций функций
косинуса и синуса ограниченным количеством членов разложений рядов Тейлора.
Проведен анализ точности выполнения преобразований и спектральный анализ
устройств формирования функциональных составляющих, а также модуляторов
фазомодулированных сигналов базе формирователя функциональных составляющих и
балансных модуляторов. Исходя из коэффициентов функциями Бесселя и анализа
результатов установлено, что увеличение индекса фазовой модуляции m>/4 влечёт
увеличение ширины спектра выходного сигнала, таким образом, для увеличения
спектральной эффективности в исследновании использован индекса фазовой
модуляции m</4, что позволяет ограничиться 3 членами при аппроксимации и построении функциональных преобразователей задавшись погрешностью | | < 1%. Разработаны формирователи узкополосных спектрально-эффективных радиосигналов на базе балансных модуляторов в квадратурных ветвях (рис. 2), а также векторных модуляторов в квадратурных ветвях. ФФС1ui(t) is(t) ФССЛС1ФВ2 БМ2 i(t) ИМСС ic(t) ФКСБМ1 uвых(t) s1 s2ЛС3 ГВЧФВ1 qs(t) ФССБМ3 q(t) ИМКСuq(t) qc(t) ФКСБМ4ЛС2 ФФС2 Рисунок 2 – Структурная схема формирователя узкополосных спектрально- эффективных сигналов на базе формирователей функциональных составляющих и балансных модуляторов в квадратурных ветвях Сигнал в основной полосе частот представлен синфазной i(t) и квадратурной q(t) последовательностями символов, которые формируются источником модулирующей синфазной составляющей (ИМСС) и источником модулирующей квадратурой составляющей (ИМКС): i(t )  kI (t )sin 2 f st , q(t )  kQ(t )sin 2 f st , (4) где I(t) и Q(t) – амплитуды символов, k – регулировочный коэффициент уровня сигнала, определяющий значение m, fs – частота следования символов. Квадратурные составляющие поступают на вход формирователей функциональных составляющих (ФФС), которые представляют совокупность формирователя синусной составляющей (ФСС) и формирователя косинусной составляющей (ФКС). На выходе ФФС будут сформированы сигналы, описываемые выражениями (5, 6): ic (t)=Ucos[ kI (t )sin 2 f st ] , is ( t )  Usin [ kI( t )sin 2 f st ] ,(5) qc ( t )  Ucos[ kQ( t )sin2 f st ] , qs ( t )  Usin [ kQ( t )sin2 f st ] .(6) С помощью высокочастотного генератора (ГВЧ) и фазовращателя на π/2 (ФВ1) формируются квадратурные составляющие: s1(t)  S cos t , s2 (t)  S sin t.(7) Перемножив косинусные составляющие модулирующего сигнала с косинусоидальной несущей, а также синусные составляющие с синусоидальной несущей в высокочастотных перемножителях сигналов (БМ1–БМ4) с коэффициентом модуляции равном единице и сложив их в линейных сумматорах (ЛС1, ЛС2), имеем: ui (t )  US (cos[i(t)]cos t - sin[i(t)]sinωt) = Acos(ωt + [i(t)])  Acos(ωt + mi sin 2 f st ) ,(8) uq (t )  US (cos[q(t)]cos t - sin[q(t)]sinωt) = Acos(ωt + [q(t)])  Acos(ωt + mq sin 2 f st ) ,(9) где А  US – постоянная амплитуда, mi=kI(t), mq=kQ(t) – индекс фазовой модуляции, который определяется мгновенными значениями амплитуды входного сигнала и регулировочным коэффициентом. Постоянное значение амплитуды сигналов позволяет применить нелинейные высокоэффективные усилители мощности. Результирующий выходной сигнал uвых(t) может быть выражен графически, как показано на рисунке 2. Вектор с амплитудой A(t) и углом ( ) является суммой двух ортогональных векторов ui(t) и uq(t), если их амплитуда А =1, то в векторно- модулированный сигнал можно записать в виде суммы действительных и мнимых компонентов:  uвых (t )  Re ui (t)  juq (t) e jωt  Re Ae j[ωt+i(t)] + jAe j[ωt+q(t)]    Re2 Ae jt e j[i(t)+(q(t)+π / 2)]  ,(10) Qгде Re – вещественная часть комплексной ϕϕ14 величины, ui(t) и uq(t) – квадратурные φ4ϕ24 компоненты сигнала uвых(t), А – φ3ϕ 23 q(t)комплексная постоянная огибающая. Uout Демодуляциясформированных uq(t)φ1узкополосных спектрально-эффективных φouti(t)сигналов может быть осуществлена с Aφ2 I помощью квадратурного демодулятора ui(t) привнесениидополнительных Рисунок 2 – Векторная диаграммапостоянных составляющих смещения формирования выходного сигналасигнального созвездия по синфазной оси – Δx и квадратурной оси – Δy. В третьей главе исследованы влияния ошибки фазы и усиления квадратурного модулятора на выходной сигнал. Проведен анализ параметрической чувствительности формирователя узкополосных спектрально-эффективных радиосигналов и анализ влияния дисбаланса фазы при осуществлении фазового сдвига π/2 на форму выходного сигнального созвездия. Далее предложен метод автокомпенсации ошибки фазы и усиления, возникающей в квадратурных модуляторах. Компенсация искажений с использованием синтезированных компенсационных сигналов позволяет устранить искажения, возникающие в балансных смесителях и фазовращателе за счет быстроизменяющихся помех, приходящих с выхода высокочастотного генератора или с выхода усилителя мощности по цепи обратной связи, а также медленных отклонений фазы и амплитуды сигнала. На рисунке 3 представлена структурная схема формирователя радиосигналов на базе векторного модулятора, в котором реализован метод компенсации искажений, с использованием синтезированных компенсационных сигналов, где АТ – аттенюатор, АМД – амплитудный детектор, АО – амплитудный ограничитель, ВМ1 – ВМ3 – векторные модуляторы, ЛВ1 – ЛВ4 – линейные вычитатели, ЛС1 – ЛС4 – линейные сумматоры. Совокупность АМД, АО, ВМ2, ВМ3, ФВ2, ФФС, ЛВ1 – ЛВ4, ЛС1 и ЛС2 составляет формирователь функциональных составляющих синтезирующий компенсационные сигналы (ФФССКС). На выходах ГВЧ и ФВ1 формируются квадратурные высокочастотные сигналы: u1  U cos 0t , u2  U sin 0t.(11) Модулирующее напряжение представляет собой гармонический сигнал: e  E cos(t   ) ,(12) где E, ,  – амплитуды, частоты и начальные фазы составляющих модулирующего напряжения, а синусно-косинусные преобразователи осуществляют формирование модулирующего напряжения (12) на основании алгоритмов и устройств, описанных в главе 2. u1ВМ1uБМ1uвых ГВЧБМ1С1 u2 ФВ1БМ2uБМ2 ИМНАТ uЛВ4 uЛВ3 +–uАТ ЛВ4 +– ЛВ3 uЛВ1 –+ ФССЛВ1ЛС1 eсuПАМ uЛВ2АМД e –+ ФКСЛВ2ЛС2 es ФФС uc2uc3 БМ3С2 uФВ2 БМ6С3 ФВ2 ФВ4АО ФВ3 БМ4 БМ5 ФФССКСuАОВМ 2ВМ 3 Рисунок 3 – Структурная схема формирователя радиосигналов на базе векторного модулятора с использованием синтезированных компенсационных сигналов При этом на выходе формирователя функциональных составляющих, напряжения соответственно, имеют вид: eс  E cos m cos(t   )  , es  E sin m cos(t   )  ,(13) гдеЕ  m– индекс полезной угловой модуляции. После перемножения (11) с (13) и последующего линейного сложения результатов квадратурных перемножений, на выходе С1, при отсутствии дестабилизирующих факторов формируется неискажённый фазомодулированный сигнал: uвых  kU cos 0t  E cos  m cos(t   )    kU sin 0t  E sin  m cos(t   )  (14)  U вых cos 0t  m cos(t   )  , где U вых  kUE – постоянная амплитуда. При появлении в выходном ФМ сигнале амплитудно-фазовой нестабильности, проявляющейся в виде напряжений uпам и  , и характеризующих, соответственно, паразитную амплитудную модуляцию (ПАМ) и паразитную фазовую модуляцию (ПФМ), начинают отрабатывать цепи обратных связей по следующим алгоритмам. ФМ сигнал на выходе модулятора, в котором присутствуют ПАМ и ПФМ, можно записать в следующем виде: uС/ 1  uпам cos 0t  m cos  t      ,(15) где uпам  UC1 1  U пам  – напряжение ПАМ, Ѳ – напряжение ПФМ. На выходе АТ мощность сигнала (15) понижается до значения, обеспечивающего нормальные режимы работы АМД и ВМ, которые предназначены для выделения напряжений, соответственно, uпам и Ѳ. В результате квадратурных перемножений и линейных суммирований колебаний (3.20) и (3.21) на выходах сумматоров С2 и С3 будут выделяться, соответственно, синусная и косинусная составляющие модулирующего напряжения, содержащие компоненты ПФМ: uC 2  E sin m cos(t   )    s ,(16) uС 3  E cos m cos(t   )   с ,(17) где Ѳs и Ѳс – синусная и косинусная составляющие ПФМ. Далее с напряжениями (16), (17) и uпам последовательно производят следующие линейные преобразования: uЛВ1   E cos  m cos(t   )   (18)  E cos  m cos(t   )   с  uпам  с  uпам , uЛВ 2   E sin  m cos(t   )   (19)  E sin  m cos(t   )    s  uпам   s  uпам , uЛВ3  E cos m cos(t   )   с  uпам  ,(20) uЛВ 4  E sin m cos(t   )    s  uпам  .(21) В результате выражения (20) и (21) описывают законы изменения управляющих напряжений, представляющие собой алгебраические суммы полезных синусной и косинусной составляющих модулирующего напряжения и паразитных синусной и косинусной составляющих дестабилизирующего напряжения, при этом слагаемые, описывающие ПФМ и ПАМ, для достижения эффекта их полной компенсации подаются на входы БМ1 и БМ2 в противофазе. Из изложенных алгоритмов и структурной схемы на рисунке 3 следует, что происходит автокомпенсация ПАМ, и осуществляется формирование неискажённого ФМ сигнала. Результаты исследования показали высокую эффективность предложенного метода автокомпенсации ошибки фазы и усиления (рис. 4), при этом увеличение индекса фазовой модуляции снижает влияние фазового дисбаланса на уровень ПФМ и соответственно фазу выходного сигнала, но ведет к росту ПАМ в фазомодулированных составляющих сигнала, а также ведет к снижению эффективности применения компенсации амплитудно-фазовой нестабильности в квадратурном формирователе радиосигналов. 1,545 1,4540 нормированная амплитуда, Uн В 1,435 нормированная фаза, φ град 1,35 1,3 1,25 1,2 1,15 1,1 1,055 0135101520253035404501351015202530354045 дисбаланс фазовращателя, θ граддисбаланс фазовращателя, θ град Рисунок 4 – Влияние дисбаланса фазовращателя на ПАМ и ПФМ Из анализа влияния отклонений коэффициента балансной модуляции следует, что неточности балансного модулятора, осуществляющего перемножения косинусных составляющих, в большей степени влияют на уровень ПАМ, чем неточности при перемножении синусных составляющих. При коэффициенте балансной модуляции Кбм=1, а также при Кбм1=Кбм2 возникновения ПАМ не происходит, а применение синтезированных компенсационных сигналов является менее эффективным. Во второй части главы исследованы влияния нелинейности усилителей и дисбаланса трактов усиления на выходной сигнал. Рассмотрено применение квадратурной обратной связи для устранения ошибок, вносимых в результате нелинейного усиления и несоответствия трактов усиления, согласно структурной схеме, представленной на рисунке 5. i0(t)ei(t)ic(t) i(t)ui(t) + ИМССЛВ1ЛС1ФФССКС1ВМ1ФВ3УМ1 is(t) - s1 АТ1uвых(t) ГВЧЛС1 АТ2 q0(t)qс(t)s1 +eq(t)q(t) uq(t) ИМКСЛВ1ЛС1ФФССКС2ВМ2УМ2 -qs(t)iвых(t) qвых(t)ВДМАТ3 Рисунок 5 – Структурная схема формирователя узкополосных спектрально- эффективных радиосигналов с одновременной компенсацией амплитудно-фазовых искажений в петле обратной связи Сформированный выходной сигнал поступает в направленный ответвитель сигнала, где происходит отвод части мощности выходного сигнала, аттенюатор (АТ3) понижает её до значения, обеспечивающего нормальный режим работы векторного демодулятор (ВДМ), который осуществляет выделение квадратурных составляющих. Если исходная синфазная компонента входного сигнала 0 (t), то выходной сигнал с учетом задержи в тракте обратной связи – ( − ), коэффициент усиления усилителей Gi и Gq, а преобразование выходного сигнала в тракте обратной связи с понижением частоты можно рассматривать, как линейное затухание с коэффициентом передачи – 1/β. Формируемые синфазная и квадратурная составляющие сигнала обратной связи примут вид: Gi I out (t   )Gq Qout (t   ) iout ,qout ,(22) 1  Gi 1  Gq  iout (t )  iout (t )  x , qout (t )  qout (t )  y .(23) В результате сравнения текущих значений входных квадратурных сигналов и квадратурных сигналов обратной связи в ЛВ1 и ЛВ2 формируются сигналы ошибки eq(t) и ei(t), которые необходимо добавить к исходным сигналам с помощью ЛС1 и ЛС2 для получения предыскаженных символьных последовательностей: ei (t )  i0 (t )  iвых (t ) eq (t )  q0 (t )  qвых (t ) , (24) , q(t )  q0 (t )  eq (t ) , i(t )  i0 (t )  ei (t ) .(25) Анализ точности реализации квадратурной обратной связи проведен с применением функции arctg[tg(x)]. В четвертой главе описана техническая реализация и экспериментальное исследование компенсации амплитудно-фазовых искажений в ФФС при индексе модуляции mφ≤π/4 на базе ПЛИС с использованием аппаратного языка описания аппаратуры VHDL. 64-битные табличные значения косинуса и синуса были вычислены для 3 членов разложения с шагом квантования, обеспечивающим формирование 1024 значений для каждой из таблиц. Таким образом, ПЗУ ПЛИС задействуется 262144 ячееки памяти. Проект реализован на ПЛИС Arria VGZ AGZME3E2H29C3 с использованием отладочной платы Gateway 1x40_4x10G, при этом, исходя из анализа задействованного ресурса ПЛИС, для реализации данного проекта могут быть использованы менее технологичные устройства (МАХ II производства ALTERA (Intel)). Для осуществления моделирования методов линейного усиления спектрально эффективных сигналов, циркулирующих в сетях радиосвязи специального, назначения в САПР Advanced Design System был выбран сигнал стандарта TETRA, проведена оценка и подбор электронных компонентов. В качестве усилительных элементов были рассмотрены усилитель LEE-9+ компании Mini-Circuits, HMC549 и HMC8410 компании Analog Devices. Схема моделирования формирователя узкополосных спектрально-эффективных радиосигналов для осуществления линейного усиления в нелинейных усилителях мощности с одновременной компенсацией амплитудно- фазовых искажений в петле обратной связи приведена на рисунке 4, где ИПД – источник потока данных, ППП – последовательно-параллельный преобразователь, ОФК – относительный фазовый кодер, ФНЧ – фильтр нижних частот, ЛВ – линейный вычитатель, ФФССКС – формирователь функциональных составляющих синтезирующий компенсационные сигналы, ВМ – векторный модулятор, ВДМ – векторный демодулятор, УМ – усилитель мощности, АТ – аттенюатор. На рисунке 5 (а) представлены комплексная огибающая Аi(t) синфазной ФМ составляющей сигнала и комплексные огибающие сигналов на выходе рассмотренных усилителей, пунктирными линиями показаны комплексные огибающие сигнала π/4-DQPSK до и после нелинейного усиления. 1324МП2УADC-26-52+ i(t) ei(t)u(t) +u’(t) ФФСУМ1 ФНЧ1ЛВ1ЛС1ВМ1 СКС1 -LEE-9+ ikHMC549 s(t)АТ1HMC8410 ak xk ПППГВЧ ИПДОФК bkФВ1 АТ2LEE-9+ qkeq(t)s(t)HMC549 q(t)HMC8410 u(t)u’(t)uвых(t) +ФФС ФНЧ2ЛВ2ЛС2ВМ2УМ2ЛС3 СКС2 -ADC-26-52+ CMX980AМАХ II1324МП2УQCN-5D+ ВДМАТ3 AD8348ADC-26-52+ Рисунок 4 – Схема моделирования На рисунке 5 (б) графически представлены статистические значения пиковой мощности сигнала после осуществления нелинейного усиления. Установлено, что мгновенная мощность синфазной ФМ составляющей сигнала соответствует средней мощности сигнала. В результате объединения синфазной и квадратурной ФМ составляющих формируется выходной сигнал с переменной огибающей. (а)(б) Рисунок 5 – Комплексные огибающие сигналов (а) и CCDF (б) Далее была проведена оценка спектральной эффективности. На рисунке 6 (а) представлены спектры сигналов π/4-DQPSK при скорости информационного потока данных 28,8 кБит/с и частоте несущей 450 МГц, на выходе рассмотренных усилителей мощности, а на рисунке 6 (б) в случае применения формирователя узкополосных спектрально-эффективных радиосигналов для осуществления линейного усиления в нелинейных усилителях мощности с одновременной компенсацией амплитудно- фазовых искажений в петле обратной связи. Установлено, что наибольшее снижение излучения происходит на удалении 25 кГц от несущей частоты. Поскольку показателем качества линейности для цифровых систем беспроводной связи обычно является коэффициент мощности в соседнем канале, то в процентном соотношении произведен расчет повышения эффективности излучения в основном канале и снижения мощности излучения в соседнем и альтернативном канале, а также улучшения значений ACPR и AltACPR за счёт применения формирователей спектрально-эффективных радиосигналов без обратной связи и с компенсацией амплитудно-фазовых искажений квадратурной обратной связью. (а)(б) Рисунок 6 – Спектры сигнала π/4-DQPSK на выходе усилителей (а) и спектры сигнала π/4-DQPSK в случае применения формирователя узкополосных спектрально- эффективных радиосигналов с одновременной компенсацией амплитудно-фазовых искажений в петле обратной связи (б) дополнительно были проведены моделированияначастоте ACPR, % 800 МГцнесущей 800 МГц, 850 МГц, 850 МГц 10900 МГц и значении точки 900 МГц 01 dBm компрессии – 34.3 dBm. лин лин ОСНа рисунке 7 в процентном Рисунок 7 – Сравнение ACPR с результатомсоотношении представлено, на линеаризации квадратурной обратной связьюсколькобылоулучшено значение ACPR при сравнении с Длясравненияполученныхрезультатомлинеаризации результатовсисследованиямипоквадратурной обратной связью, линеаризации сигналов стандарта TETRA скоторая может быть реализована применением квадратурной обратной связимикросхемой СМХ998. Наилучшей мерой качества цифровых радиосигналов является частота ошибок в битах (BER) или частоты ошибки на символ (SER). Оценка энергетической эффективности осуществлена по методу Монте-Карло. Установлено, что применение формирователя узкополосных спектрально-эффективных сигналов для линейного усиления сигнала в нелинейных усилителях мощности позволит формировать выходной сигнал с требуемой вероятностью возникновения ошибок. В заключении подведены итоги диссертации в целом, сформулированы основные результаты и выводы. Заключение Таким образом, в работе проведён ряд теоретических и экспериментальных исследований, направленных на разработку и исследование формирователей спектрально-эффективных радиосигналов с компенсацией амплитудно-фазовых искажений 1. Исследовано и реализовано устройство формирования функциональных составляющих на базе ПЛИС, входящее в состав структурной схемы формирователя узкополосныхспектрально-эффективныхрадиосигналов,позволяющее компенсировать амплитудно-фазовые искажения в петле обратной связи. 2. Разработаны структурные схемы формирователей узкополосных спектрально- эффективных сигналов на базе балансных модуляторов в квадратурных ветвях, а также векторных модуляторов в квадратурных ветвях. 3. Предложен метод компенсации амплитудно-фазовой нестабильности в квадратурном модуляторе радиосигналов на базе формирователей функциональных составляющих и проведена оценка его эффективности. 4.Исследованапараметрическаячувствительностьформирователей узкополосных спектрально-эффективных сигналов. 5. Предложен метод применения формирователей узкополосных спектрально- эффективных радиосигналов для осуществления линейного усиления в нелинейных усилителях мощности с одновременной компенсацией амплитудно-фазовых искажений в петле обратной связи. 6. Проведено моделирование применения формирователей узкополосных спектрально-эффективных радиосигналов для линейного усиления сигнала в нелинейных усилителях мощности, что позволило максимально повысить значение ACPR в случае применения усилителя LEE9+ на 74%, a значение AltACPR на 27,3%, при этом генерируемый выходной сигнал удовлетворяет критериям требуемой вероятности возникновения ошибок.

Актуальность темы исследования. Современное состояние и развитие
радиотехники требует постоянного повышения качества радиоэлектронной
аппаратуры, используемой в системах передачи информации, в частности, в
цифровых системах радиосвязи специального назначения, в связи с чем
актуальными задачами продолжают оставаться исследование и разработка
эффективных способов и устройств формирования радиосигналов с заданными
спектрально-временными параметрами.
В современных стандартах цифровой радиосвязи значительное место среди
применяемых радиосигналов занимают сигналы с амплитудно-фазовой
модуляцией, что, прежде всего, объясняется их основным достоинством – высокой
спектральной эффективностью. Данная особенность позволяет осуществлять
передачу большего объема информации с задействованием минимального
частотного ресурса, что особенно важно ввиду ограниченности выделенного
частотного диапазона. Негативным аспектом является значительное изменение
уровня огибающей данных сигналов, что в совокупности с работой выходного
тракта передатчика в режиме близком к насыщению, служит причиной
интермодуляционных искажений и ухудшения электромагнитной совместимости
с соседними каналами. В то же время современными тенденциями развития систем
связи является достижение максимального коэффициента полезного действия и
увеличение времени автономной работы аккумуляторных батарей портативных
средств связи, что достигается за счёт применения нелинейных режимов работы
усилителя, а это, в свою очередь, снижает возможности внедрения спектрально
эффективных радиосигналов [52].
Существует ряд способов, обеспечивающих одновременную реализацию
высоких энергетических и качественных показателей сигналов с амплитудно-
фазовой модуляцией. Одним из решений является представление
многопозиционного сигнала в виде двух смещённых по фазе сигналов с
постоянной огибающей (дефазирование). Сформированные сигналы усиливаются
двумя нелинейными высокоэффективными усилителями мощности, а выходные
суммируются до того, как сигнал поступает в антенно-фидерный тракт. Такой
подход позволяет усилителям мощности работать непрерывно с максимальной
эффективностью, сохраняя при этом возможность получения линейного
выходного сигнала.
При рассмотрении данных способов в синфазно-квадратурной системе
координат можно прийти к выводу, что к исходному входному сигналу
добавляется и вычитается широкополосный сигнал смешения, а спектр двух
дополнительных компонент сигнала попадает в соседние каналы, в результате
эффективность линейности системы зависит от точного подавления
широкополосных сигналов при объединении мощности, а согласование между
двумя ветвями усилителей становится критическим важным фактором.
В ряде случаев для формирования фазомодулированных составляющих
применяют генераторы, управляемые напряжением (ГУН), что в свою очередь
может вести к рассинхронизации работы усилительных трактов из-за не
идентичности параметров ГУН, а наличие управляемых реактивных элементов и
частотно-избирательных цепей не позволяет с их помощью осуществлять фазовую
модуляцию без перестройки схемы в достаточно широком диапазоне частот
несущего колебания [70].
Поэтому актуальной является задача исследования методов прецизионного
преобразования формы спектрально-эффективных радиосигналов для усиления в
нелинейных усилителях мощности, основанных на новых принципах
формирования сигналов с постоянной огибающей.
Степень разработанности проблемы. Усиление мощности с повышенной
энергетической эффективностью на основе формирования сдвига фаз
высокочастотных сигналов в параллельных каналах было предложено
французским инженером Ширексом (Н. Chireix) [83] и долгое время
использовалось для реализации мощных радиовещательных передатчиков с
амплитудной модуляцией в Европе и позже в США. Позже, Коксом (D.С. Сох) [86,
87] применение дефазирования было предложено для усиления других сигналов с
переменной огибающей. Шендерович (Senderowicz D.) [116], базируясь на идее
разложения сигнала на две фазомодулированные составляющие с постоянной
огибающей, предложил использование ГУН и схему полярной обратной связи, эта
идея была доработана Да Сильва (Da Silva M.K.) [88], а Батманом (Bateman. A) [80]
предложено включение квадратурной обратной связи. Большое внимание
обобщению известных способов дефазирования, а также анализу возникающих
при этом ошибок было уделено Джангом (Zhang Х.) [129, 130] и Кенингтоном
(Kenington P.B.) [96].
В отечественной научной литературе по теме усиления мощности с
применением способов разделения сигнала следует отметить работы
В.С. Климова [26], Р.Ю. Иванюшкина [22, 23, 77] и А.А. Лосева [30, 31, 32, 33].
Объектом исследования являются устройства формирования спектрально-
эффективных радиосигналов, циркулирующих в системах связи специального
назначения.
Предметом исследования являются структурные схемы формирователей
спектрально-эффективных радиосигналов, их теоретические характеристики и
методы компенсации амплитудно-фазовой нестабильности.
Результаты исследования соответствуют п. 3 (разработка устройств
генерирования, усиления, преобразования радиосигналов в радиосредствах
различного назначения. Создание методик их расчета и основ проектирования),
п. 7 (разработка методов и устройств передачи, приема, обработки, отображения и
хранения информации) и п. 10 (разработка радиотехнических устройств для
использования их в промышленности, биологии, медицине, метрологии и др.)
паспорта научной специальности 05.12.04 «Радиотехника, в том числе системы и
устройства телевидения».
Цель работы и задачи исследований. Целью диссертационной работы
является теоретическое обоснование и экспериментальное исследование новых
структурных схем формирователей спектрально-эффективных радиосигналов,
позволяющих осуществлять нелинейное усиление мощности и методов
компенсации амплитудно-фазовых искажений.
Для достижения поставленной цели решались следующие задачи:
1. Анализ нелинейных искажений радиосигналов в усилителях мощности,
критериев оценки их влияния на спектральную эффективность и методов
линеаризации передающего тракта.
2. Разработка и исследование структурных схем формирователей
узкополосных спектрально-эффективных сигналов.
3. Моделирование и исследование теоретических характеристик
формирователей узкополосных спектрально-эффективных радиосигналов.
4. Разработка и исследование методов компенсации амплитудно-фазовой
нестабильности в формирователе узкополосных спектрально-эффективных
радиосигналов.
5. Аппаратная реализация формирователя функциональных составляющих
на базе ПЛИС и моделирование применения формирователей узкополосных
спектрально-эффективных радиосигналов для линейного усиления в нелинейных
усилителях мощности.
Методы исследования. Для решения поставленных задач в работе
использованы методы математического и компьютерного схемотехнического
моделирования, численные методы расчета и анализа, векторного исчисления.
В частности, в теоретической части работы используются методы
спектрального анализа (в том числе на основе машинных алгоритмов быстрого
преобразования Фурье), аппарат рядов Тейлора, функций Бесселя,
тригонометрических функций кратного аргумента.
Экспериментальные проверки были произведены с помощью программного
обеспечения, реализующего разработанные устройства в
системе автоматизированного проектирования (САПР) MathLab, Advanced Design
System и Modelsim.
Научная новизна. В диссертации получены следующие результаты,
характеризующиеся научной новизной:
1. Структурные схемы формирователей узкополосных спектрально-
эффективных радиосигналов, позволяющие синтезировать выходные сигналы в
виде двух фазомодулированных сигналов, отличающихся индексом модуляции
пропорциональным амплитудам модулирующих квадратурных сигналов и
отсутствием дополнительной фазовой корреляции в фазомодулированных
составляющих.
2. Метод компенсации амплитудно-фазовой нестабильности в квадратурном
модуляторе радиосигналов, позволяющий минимизировать возникновение
паразитной амплитудной и паразитной фазовой модуляции, отличающийся
использованием компенсационных сигналов синтезированных формирователем
функциональных составляющих.
3. Полученные в результате моделирования спектральные характеристики и
результаты анализа параметрической чувствительности формирователей
узкополосных спектрально-эффективных радиосигналов, позволяющие оценить
точность выполняемых преобразований.
4. Устройство формирования функциональных составляющих на базе
ПЛИС, отличающееся минимальными аппаратными затратами и применением
компенсации амплитудно-фазовых искажений в петле обратной связи.
Практическая ценность результатов. Разработанные устройства внедрены
в учебный процесс Воронежского института МВД России на кафедре
инфокоммуникационных систем и технологий, подтверждены актом внедрения.
Использованы при выполнении научно-исследовательских работ «Исследование
вопросов по организации радиосвязи в ВЧ-диапазоне в интересах ГУ МВД России
по Воронежской области» (п. 4 плана научной деятельности Воронежского
института МВД России на 2019 г.), «Разработка рекомендаций по сопряжению
систем IP-телефонии и цифровых систем радиосвязи стандарта DMR» (п. 4 плана
научной деятельности Воронежского института МВД России на 2020 г.).
Результаты проведённых исследований применяются в конструкторских
разработках при модернизации существующих систем связи, что подтверждается
актами внедрения в процесс исследования и разработки ОАО «Концерн
«Созвездие», ФГУП «НТЦ Орион» ФСБ России, ООО «Радиокода».
Апробация работы
Результаты работы докладывались и обсуждались на следующих научных
конференциях:
1. Международная научно-техническая конференция IEEE «Системы
синхронизации, генерации и обработки сигналов в телекоммуникациях»,
г. Светлогорск – 2020.
2. Международная научно-техническая IEEE «Системы формирования и
обработки сигналов в области бортовой связи», г. Москва – 2021.
3. Международная отраслевая научно-техническая конференция
«Технологии информационного общества», МТУСИ, г. Москва – 2021.
4. Международная научно-практическая конференция «Охрана,

Таким образом, в работе проведён ряд теоретических и экспериментальных
исследований, направленных на разработку и исследование формирователей
спектрально-эффективных радиосигналов с компенсацией амплитудно-фазовых
искажений
1. Исследовано и реализовано устройство формирования функциональных
составляющих на базе ПЛИС, входящее в состав структурной схемы
формирователя узкополосных спектрально-эффективных радиосигналов,
позволяющее компенсировать амплитудно-фазовые искажения в петле обратной
связи.
2. Разработаны структурные схемы формирователей узкополосных
спектрально-эффективных сигналов на базе балансных модуляторов в
квадратурных ветвях, а также векторных модуляторов в квадратурных ветвях.
3. Предложен метод компенсации амплитудно-фазовой нестабильности в
квадратурном модуляторе радиосигналов на базе формирователей
функциональных составляющих и проведена оценка его эффективности.
4. Исследована параметрическая чувствительность формирователей
узкополосных спектрально-эффективных сигналов.
5. Предложен метод применения формирователей узкополосных
спектрально-эффективных радиосигналов для осуществления линейного усиления
в нелинейных усилителях мощности с одновременной компенсацией амплитудно-
фазовых искажений в петле обратной связи.
6. Проведено моделирование применения формирователей узкополосных
спектрально-эффективных радиосигналов для линейного усиления сигнала в
нелинейных усилителях мощности, что позволило максимально повысить
значение ACPR в случае применения усилителя LEE9+ на 74%, a значение
AltACPR на 27,3%, при этом генерируемый выходной сигнал удовлетворяет
критериям требуемой вероятности возникновения ошибок.

Заказать новую

Лучшие эксперты сервиса ждут твоего задания

от 5 000 ₽

Не подошла эта работа?
Закажи новую работу, сделанную по твоим требованиям

    Нажимая на кнопку, я соглашаюсь на обработку персональных данных и с правилами пользования Платформой

    Читать

    Читать «Формирователи спектрально-эффективных радиосигналов с компенсацией амплитудно-фазовых искажений»

    Публикации автора в научных журналах

    S.S. Pechnikov, S.A. Sherstukov // T-Comm: Телекоммуникации и транспорт. – 2– № – С. 50
    Аппаратная реализация на базе ПЛИС компенсатора амплитудно-фазовых искажений, входящего в состав формирователя спектрально-эффективных радиосигналов
    С.А. Шерстюков, С.С. Печников, Е.В. Шаталов //Вестник Воронежского института ФСИН России. – 2– № – С. 16
    A method of compensating distortion of radio signals with amplitude-phase modulation in a quadrature driver
    S.A. Sherstukov, Pechnikov S.S.,A.N. Buravtsova // IOP Conference Series: Materials Science and Engineering. KrasnoyarskScience and Technology City Hall of the Russian Union of Scientific and EngineeringAssociations (2020). – 2– 22
    Оperation algorithms and application of functional converters modulating voltage for generation of precision radio signals with angular modulation
    S.A. Sherstukov, A.N. Buravtsovs, S.S. Pechnikov, D.S. Tolstykh // IOP Conference Series:Materials Science and Engineering. Krasnoyarsk Science and Technology City Hall of theRussian Union of Scientific and Engineering Associations. Krasnoyarsk, Russia, (2020). –2– 52
    Повышение энергетических свойств радиопередающих устройств, формирующих высокоэффективные радиосигналы с цифровой модуляцией
    С.А. Шерстюков, С.С. Печников // Охрана, безопасность, связь – 2№ 4–2 (4) –С. 96
    Анализ способов LINC-преобразования радиосигналов с амплитудно-угловой модуляцией
    С.А. Шерстюков, С.С. Печников // Охрана,безопасность, связь – 2– № 5–– С. 138
    Вопросы применения высокоэффективных методов модуляции в системах цифровой радиосвязи
    С.С. Печников // Актуальные вопросыэксплуатации систем охраны и защищенных телекоммуникационных систем : сборникматериалов всероссийской научно-практической конференции. – Воронеж, – 2–С. 33
    Увеличение времени работы средств радиосвязи, стоящих на вооружении в органах внутренних дел
    С.С. Печников // Общественная безопасность,законность и правопорядок в III тысячелетии. – 2– № 5–– С. 180
    Проблемы технического сопряжения стандартов систем радиосвязи в предмодуляционном и усилительном трактах
    С.А. Шерстюков,С.С. Печников // Общественная безопасность, законность и правопорядок вIII тысячелетии. – 2– № 5–– С. 207
    Вопросы сопряжения стандартов систем радиосвязи
    С.С. Печников // Современное состояние и перспективы развития систем связи ирадиотехнического обеспечения в управлении авиацией : сборник научных статей поматериалам III Международной научно-технической конференции ВВА им. проф.Н.Е. Жуковского и Ю.А. Гагарина. – Воронеж, 2– C.141
    Перспективы развития адаптивной системы радиосвязи и применение в сетях связи ведомственных структур
    А.С. Лукьянов, С.С. Печников,И.В. Гилев // Математические методы и информационно-технические средства :сборник научных статей по материалам XV Всероссийской научно-практическойконференции. – Краснодар, 2– C.114
    Моделирование системы усиления с декартовой обратной связью
    С.С. Печников, Лукьянов А.С. // Авионика : сборник научных статей поматериалам IV Всероссийской научно-практической конференции. – Воронеж, – 2– С. 287
    Анализ воздействии двухтонального сигнала на нелинейный усилитель мощности
    С.С. Печников // Актуальные вопросы эксплуатации системохраны и защищенных телекоммуникационных систем: сборник материаловвсероссийской научно-практической конференции. – Воронеж, – 2– С.28
    Моделирование нелинейного режима усиления сигнала формируемого квадратурным модулятором при воздействии 2-тонального колебания
    С.С. Печников // Общественная безопасность, законность и правопорядок в III тысячелетии. – 2– № 6–– С.186
    Количественная оценка точности формирования спектрально-эффективных радиосигналов с использованием глазковых диаграмм колебания
    С.С. Печников // REDS: телекоммуникационные устройства и системы. – 2– № – С. 58

    Помогаем с подготовкой сопроводительных документов

    Совместно разработаем индивидуальный план и выберем тему работы Подробнее
    Помощь в подготовке к кандидатскому экзамену и допуске к нему Подробнее
    Поможем в написании научных статей для публикации в журналах ВАК Подробнее
    Структурируем работу и напишем автореферат Подробнее

    Хочешь уникальную работу?

    Больше 3 000 экспертов уже готовы начать работу над твоим проектом!

    Катерина В. преподаватель, кандидат наук
    4.6 (30 отзывов)
    Преподаватель одного из лучших ВУЗов страны, научный работник, редактор научного журнала, общественный деятель. Пишу все виды работ - от эссе до докторской диссертации... Читать все
    Преподаватель одного из лучших ВУЗов страны, научный работник, редактор научного журнала, общественный деятель. Пишу все виды работ - от эссе до докторской диссертации. Опыт работы 7 лет. Всегда на связи и готова прийти на помощь. Вместе удовлетворим самого требовательного научного руководителя. Возможно полное сопровождение: от статуса студента до получения научной степени.
    #Кандидатские #Магистерские
    47 Выполненных работ
    Татьяна Б.
    4.6 (92 отзыва)
    Добрый день, работаю в сфере написания студенческих работ более 7 лет. Всегда довожу своих студентов до защиты с хорошими и отличными баллами (дипломы, магистерские ди... Читать все
    Добрый день, работаю в сфере написания студенческих работ более 7 лет. Всегда довожу своих студентов до защиты с хорошими и отличными баллами (дипломы, магистерские диссертации, курсовые работы средний балл - 4,5). Всегда на связи!
    #Кандидатские #Магистерские
    138 Выполненных работ
    Оксана М. Восточноукраинский национальный университет, студент 4 - ...
    4.9 (37 отзывов)
    Возможно выполнение работ по правоведению и политологии. Имею высшее образование менеджера ВЭД и правоведа, защитила кандидатскую и докторскую диссертации по политоло... Читать все
    Возможно выполнение работ по правоведению и политологии. Имею высшее образование менеджера ВЭД и правоведа, защитила кандидатскую и докторскую диссертации по политологии.
    #Кандидатские #Магистерские
    68 Выполненных работ
    Вирсавия А. медицинский 1981, стоматологический, преподаватель, канди...
    4.5 (9 отзывов)
    руководитель успешно защищенных диссертаций, автор около 150 работ, в активе - оппонирование, рецензирование, написание и подготовка диссертационных работ; интересы - ... Читать все
    руководитель успешно защищенных диссертаций, автор около 150 работ, в активе - оппонирование, рецензирование, написание и подготовка диссертационных работ; интересы - медицина, биология, антропология, биогидродинамика
    #Кандидатские #Магистерские
    12 Выполненных работ
    Александр О. Спб государственный университет 1972, мат - мех, преподав...
    4.9 (66 отзывов)
    Читаю лекции и веду занятия со студентами по матанализу, линейной алгебре и теории вероятностей. Защитил кандидатскую диссертацию по качественной теории дифференциальн... Читать все
    Читаю лекции и веду занятия со студентами по матанализу, линейной алгебре и теории вероятностей. Защитил кандидатскую диссертацию по качественной теории дифференциальных уравнений. Умею быстро и четко выполнять сложные вычислительные работ
    #Кандидатские #Магистерские
    117 Выполненных работ
    Андрей С. Тверской государственный университет 2011, математический...
    4.7 (82 отзыва)
    Учился на мат.факе ТвГУ. Любовь к математике там привили на столько, что я, похоже, никогда не перестану этим заниматься! Сейчас работаю в IT и пытаюсь найти время на... Читать все
    Учился на мат.факе ТвГУ. Любовь к математике там привили на столько, что я, похоже, никогда не перестану этим заниматься! Сейчас работаю в IT и пытаюсь найти время на продолжение диссертационной работы... Всегда готов помочь! ;)
    #Кандидатские #Магистерские
    164 Выполненных работы
    Мария М. УГНТУ 2017, ТФ, преподаватель
    5 (14 отзывов)
    Имею 3 высших образования в сфере Экологии и техносферной безопасности (бакалавриат, магистратура, аспирантура), работаю на кафедре экологии одного из опорных ВУЗов РФ... Читать все
    Имею 3 высших образования в сфере Экологии и техносферной безопасности (бакалавриат, магистратура, аспирантура), работаю на кафедре экологии одного из опорных ВУЗов РФ. Большой опыт в написании курсовых, дипломов, диссертаций.
    #Кандидатские #Магистерские
    27 Выполненных работ
    Юлия К. ЮУрГУ (НИУ), г. Челябинск 2017, Институт естественных и т...
    5 (49 отзывов)
    Образование: ЮУрГУ (НИУ), Лингвистический центр, 2016 г. - диплом переводчика с английского языка (дополнительное образование); ЮУрГУ (НИУ), г. Челябинск, 2017 г. - ин... Читать все
    Образование: ЮУрГУ (НИУ), Лингвистический центр, 2016 г. - диплом переводчика с английского языка (дополнительное образование); ЮУрГУ (НИУ), г. Челябинск, 2017 г. - институт естественных и точных наук, защита диплома бакалавра по направлению элементоорганической химии; СПХФУ (СПХФА), 2020 г. - кафедра химической технологии, регулирование обращения лекарственных средств на фармацевтическом рынке, защита магистерской диссертации. При выполнении заказов на связи, отвечаю на все вопросы. Индивидуальный подход к каждому. Напишите - и мы договоримся!
    #Кандидатские #Магистерские
    55 Выполненных работ
    user1250010 Омский государственный университет, 2010, преподаватель,...
    4 (15 отзывов)
    Пишу качественные выпускные квалификационные работы и магистерские диссертации. Опыт написания работ - более восьми лет. Всегда на связи.
    Пишу качественные выпускные квалификационные работы и магистерские диссертации. Опыт написания работ - более восьми лет. Всегда на связи.
    #Кандидатские #Магистерские
    21 Выполненная работа

    Последние выполненные заказы

    Другие учебные работы по предмету

    Алгоритмы распознавания и модели цифровой обработки динамических телевизионных изображений
    📅 2021 год
    🏢 ФГБОУ ВО «Владимирский государственный университет имени Александра Григорьевича и Николая Григорьевича Столетовых»
    Быстрые цифровые алгоритмы когерентной демодуляции сигналов с амплитудной и фазовой манипуляцией
    📅 2022 год
    🏢 ФГБОУ ВО «Владимирский государственный университет имени Александра Григорьевича и Николая Григорьевича Столетовых»
    Зеркально-симметричные модальные фильтры и меандровые линии
    📅 2021 год
    🏢 ФГБОУ ВО «Томский государственный университет систем управления и радиоэлектроники»